Issue Browser
Volume 28, Issue S1, Jan 2007
Recent Advancements in Si-Based Photonic Materials and Devices
Yu Jinzhong, Wang Qiming
Chin. J. Semicond.  2007, 28(S1): 1-11
Abstract PDF

Silicon-based photonic devices,including stimulated emission from Si diodes,resonant cavity enhanced (RCE) photodiodes with quantum structures,metal oxide semiconductor (MOS) optical modulators with high frequency,SOI optical matrix switches and wavelength tunable filters,are reviewed.Emphasis is placed on our recent results for SOI-based thermo-optic waveguide matrix switches with low insertion loss and fast response.A folding re-arrangeable non.blocking 4 x 4 matrix switch with total internal reflection (TIR) mirrors and a first blocking 16 x 16 matrix were fabricated on S01 wafer.The extinction ratio and the crosstalk are excellent.The insertion loss and the polarization-dependent loss (PDL) at 1.55μm increase slightly with longer device length,more bending,and more intersecting waveguides.The insertion losses are expected to decrease by 2~3dB when antirefleetion films are added to the ends of the devices.The rise and fall times of the devices are 2.1 and 2.3μs,respective1y.

Silicon-based photonic devices,including stimulated emission from Si diodes,resonant cavity enhanced (RCE) photodiodes with quantum structures,metal oxide semiconductor (MOS) optical modulators with high frequency,SOI optical matrix switches and wavelength tunable filters,are reviewed.Emphasis is placed on our recent results for SOI-based thermo-optic waveguide matrix switches with low insertion loss and fast response.A folding re-arrangeable non.blocking 4 x 4 matrix switch with total internal reflection (TIR) mirrors and a first blocking 16 x 16 matrix were fabricated on S01 wafer.The extinction ratio and the crosstalk are excellent.The insertion loss and the polarization-dependent loss (PDL) at 1.55μm increase slightly with longer device length,more bending,and more intersecting waveguides.The insertion losses are expected to decrease by 2~3dB when antirefleetion films are added to the ends of the devices.The rise and fall times of the devices are 2.1 and 2.3μs,respective1y.
Mechanism of Thermal Oxidation of 3C.SiC Grown on Si
Zhao Yongmei, Sun Guosheng, Liu Xingfang, Li Jiaye, Zhao Wanshun, Wang Lei, Luo Muchang, Li Jinmin
Chin. J. Semicond.  2007, 28(S1): 4-3
Abstract PDF

3C-SiC heteroepitaxial layer is grown on Si(100)substrate by low pressure chemical vapor deposition (LPCVD)· Thermal oxidation of 3C-SiC is conducted in a dry 02 at 1050℃. X-ray photoelectron spectroscopy (XPS),combining with the Ar+sputtering technology,is used to analyze the change of the binding energy of Si2p,Cls and Ols,with the sputtering depth in the SiOz layer and SiO2/SiC interface region.Thermal oxidation model of 3C-SiC is presented and the oxidation mechanism is discussed according to the XPS depth analysis.

3C-SiC heteroepitaxial layer is grown on Si(100)substrate by low pressure chemical vapor deposition (LPCVD)· Thermal oxidation of 3C-SiC is conducted in a dry 02 at 1050℃. X-ray photoelectron spectroscopy (XPS),combining with the Ar+sputtering technology,is used to analyze the change of the binding energy of Si2p,Cls and Ols,with the sputtering depth in the SiOz layer and SiO2/SiC interface region.Thermal oxidation model of 3C-SiC is presented and the oxidation mechanism is discussed according to the XPS depth analysis.
Stillinger-Weber Parameters for InN:Application to InxGa1-xN
Lei Huaping, Jiang Xunya, Yu Guanghui, Chen J, Petit S, Ruterana P, Nouet G
Chin. J. Semicond.  2007, 28(S1): 12-15
Abstract PDF

By using a Stillinger-Weber(SW)type empirical potential for InN,the atomic structures of InxGa1-xN alloys and the deformations induced by In-rich clusters in InGaN/GaN quantum wells are presented.The SW parameters are determined by fitting the lattice parameters and elastic constants of InN in wurtzite and zinc-blende structures.The energy of quantum wells containing In-rich clusters is investigated.

By using a Stillinger-Weber(SW)type empirical potential for InN,the atomic structures of InxGa1-xN alloys and the deformations induced by In-rich clusters in InGaN/GaN quantum wells are presented.The SW parameters are determined by fitting the lattice parameters and elastic constants of InN in wurtzite and zinc-blende structures.The energy of quantum wells containing In-rich clusters is investigated.
Seebeck Coefficient of Czochralski SiGe Alloy at High Temperatures
Suo Kainan, Zhang Weilian, Niu Xinhuan, Jiang Zhongwei
Chin. J. Semicond.  2007, 28(S1): 16-19
Abstract PDF

To investigate the possibility of improvement in the thermoelectric properties of SiGe alloys,we examined several different silicon.germanium alloys with different contents,orientations,and electric conductive types. The thermoelectric properties of single crystal SiGe alloy were compared with those of poly-crystals.Experiment results show that the Seebeck coefficient of the sample depends on the temperature.A1l the samples show a maximal Seebeck coefficient in the temperature range of 700~900K.The Seebeck coefficient of the sample with<111> orientation is smaller than that of the sample with<100>orientation at the same temperature.The Seebeck coefficient of the SiGe alloy also depends on Ge content.

To investigate the possibility of improvement in the thermoelectric properties of SiGe alloys,we examined several different silicon.germanium alloys with different contents,orientations,and electric conductive types. The thermoelectric properties of single crystal SiGe alloy were compared with those of poly-crystals.Experiment results show that the Seebeck coefficient of the sample depends on the temperature.A1l the samples show a maximal Seebeck coefficient in the temperature range of 700~900K.The Seebeck coefficient of the sample with<111> orientation is smaller than that of the sample with<100>orientation at the same temperature.The Seebeck coefficient of the SiGe alloy also depends on Ge content.
Calculation and Fabrication of Photonic Crystal with Diamond Structure
Zhang Ruijuan, Yin Haiqing, Wen Jing, Cao Wenbin
Chin. J. Semicond.  2007, 28(S1): 20-23
Abstract PDF

The plane wave propagation method was used to calculate the band gap width of photonic crystals (PCs)with diamond structure.When the lattice constant of the crystal is 8.5mm,the PC has a maximal band gap width of about 3.5GHz.In this case.the frequency of the band gap ranges from 15.3 to 18.7GHz. A computer solid model of a photonie crystal with diamond structure was designed.The epoxy PC was fabricated by stereolithography. The fabricated epoxy PC is 7.40ram×36.54mm×54.32ram in size,and the periodic numbers of the crystal in the x,v,and z directions are 2,4,and 6,respectively.The transmission of microwaves from 10 to 20GHz was measured along the(100>direction by an HP network analyzer.A band gap is formed in the range of 14.7~18.5GHz.The magnitude of the maximum attenuation is as large as一30dB at 17.3GHz。indicating that the fabricated structure works well as a photonic crystal.

The plane wave propagation method was used to calculate the band gap width of photonic crystals (PCs)with diamond structure.When the lattice constant of the crystal is 8.5mm,the PC has a maximal band gap width of about 3.5GHz.In this case.the frequency of the band gap ranges from 15.3 to 18.7GHz. A computer solid model of a photonie crystal with diamond structure was designed.The epoxy PC was fabricated by stereolithography. The fabricated epoxy PC is 7.40ram×36.54mm×54.32ram in size,and the periodic numbers of the crystal in the x,v,and z directions are 2,4,and 6,respectively.The transmission of microwaves from 10 to 20GHz was measured along the(100>direction by an HP network analyzer.A band gap is formed in the range of 14.7~18.5GHz.The magnitude of the maximum attenuation is as large as一30dB at 17.3GHz。indicating that the fabricated structure works well as a photonic crystal.
Effect of Deep Traps in Carrier Generation and Transport in Undoped InP Wafers
Zhou Xiaolong, Sun Niefeng, Yang Ruixia, Zhang Weiyu, Sun Tongnian, Jarasiunas K, Sudzius M, Kadys A
Chin. J. Semicond.  2007, 28(S1): 24-27
Abstract PDF

Fifty-millimeter undoped indium phosphide(InP)wafers polished on both sides were measured by a psdegenerate four.wave mixing(FWM)technique.Deep defect related carrier generation,recombination,and decay kinetics and exposure characteristics were measured by time-resolved picosecond FWM at 1064nm at room temperature. The diffraction efficiency of an undoped InP sample as a function of energy is shown for two grating periods.Deep donor defects in undoped lnP samples are confirmed by the pronounced effect of space charge electric field on carrier transport.

Fifty-millimeter undoped indium phosphide(InP)wafers polished on both sides were measured by a psdegenerate four.wave mixing(FWM)technique.Deep defect related carrier generation,recombination,and decay kinetics and exposure characteristics were measured by time-resolved picosecond FWM at 1064nm at room temperature. The diffraction efficiency of an undoped InP sample as a function of energy is shown for two grating periods.Deep donor defects in undoped lnP samples are confirmed by the pronounced effect of space charge electric field on carrier transport.
Growth Modes of InP Epilayers Grown by Solid Source Molecular Beam Epitaxy
Pi Biao, Shu Yongchun, Lin Yaowang, Xu Bo, Yao Jianghong, Xing Xiaodong, Qu Shengchun, Wang Zhanguo
Chin. J. Semicond.  2007, 28(S1): 28-32
Abstract PDF

The surface morphologies of InP epilayers grown by solid source molecular beam epitaxy at different growth temperatures and P/In flux ratios have been systematically studied by atomic force microscopy (AFM). The results show that the remarkable variety of surface morphologies of samples is related to the transition of growth mode。Under a critical growth condition,a transition of growth mode is induced between a two-dimensional (2D) growth mode and a three-dimensional (3D) growth mode.On the basis of these results,a summary phase diagram is proposed for the growth mode of InP epilayers.Under the 2D growth region,high quality InP epilayers are obtained.

The surface morphologies of InP epilayers grown by solid source molecular beam epitaxy at different growth temperatures and P/In flux ratios have been systematically studied by atomic force microscopy (AFM). The results show that the remarkable variety of surface morphologies of samples is related to the transition of growth mode。Under a critical growth condition,a transition of growth mode is induced between a two-dimensional (2D) growth mode and a three-dimensional (3D) growth mode.On the basis of these results,a summary phase diagram is proposed for the growth mode of InP epilayers.Under the 2D growth region,high quality InP epilayers are obtained.
Epitaxial Lateral Overgrowth of Gallium Nitride on Sapphire
Zhang Wei, Hao Qiuyan, Jing Weina, Liu Caichi, Feng Yuchun
Chin. J. Semicond.  2007, 28(S1): 33-36
Abstract PDF

The effect of growth conditions on GaN layer growth in the epitaxial lateral overgrowth (ELO) process by metal organic chemical vapor deposition(MOCVD)was investigated.Sapphire wafer was used as the substrate,which was chemically etched to make pattern on it.Then a GaN buffer layer was deposited at low temperature (LT) as the seeding layet to alleviate the lattice mismatch and difference in thermal conductivity between GaN and the substrate to grow a high quality layer with a low density of screw and mixed threading dislocations.Finally the GaN epilayer was deposited on the seeding layer by EL0. The properties of the GaN layer were then investigated by double.crystal X.ray diffraction,atomic force microscopy,and wet chemical etching.

The effect of growth conditions on GaN layer growth in the epitaxial lateral overgrowth (ELO) process by metal organic chemical vapor deposition(MOCVD)was investigated.Sapphire wafer was used as the substrate,which was chemically etched to make pattern on it.Then a GaN buffer layer was deposited at low temperature (LT) as the seeding layet to alleviate the lattice mismatch and difference in thermal conductivity between GaN and the substrate to grow a high quality layer with a low density of screw and mixed threading dislocations.Finally the GaN epilayer was deposited on the seeding layer by EL0. The properties of the GaN layer were then investigated by double.crystal X.ray diffraction,atomic force microscopy,and wet chemical etching.
Preparation of 3D Colloidal Crystal Film and Gold-Infiltrated Silica Artificial Opals
Li Wenjiang, Xie Fei
Chin. J. Semicond.  2007, 28(S1): 37-40
Abstract PDF

A free-standing 3D colloidal crystal film(opal)was fabricated at a water-air interface using purified monodispersed SiO2 colloids.The gold/silica opal was obtained through the infiltration of gold nanoparticles by electroplating.The transmission and reflection spectra of the gold/silica composite opal show a red shift with increasing electroplating time.SEM images show that gold nanoparticles can be directly deposited on the surface of silica spheres in the opaline structure.Gold/silica composite opal film could provide a simple way to tune opal properties through controlling the amount of gold in the silica opal.

A free-standing 3D colloidal crystal film(opal)was fabricated at a water-air interface using purified monodispersed SiO2 colloids.The gold/silica opal was obtained through the infiltration of gold nanoparticles by electroplating.The transmission and reflection spectra of the gold/silica composite opal show a red shift with increasing electroplating time.SEM images show that gold nanoparticles can be directly deposited on the surface of silica spheres in the opaline structure.Gold/silica composite opal film could provide a simple way to tune opal properties through controlling the amount of gold in the silica opal.
Realization of Nanoelectronic Devices-Resonant Tunneling Diodes Grown on InP Substrates with High Peak to Valley Current Ratio
Zhang Yang, Zeng Yiping, Ma Long, Wang Baoqiang, Zhu Zhanping, Wang Liangchen, Yang Fuhua
Chin. J. Semicond.  2007, 28(S1): 41-43
Abstract PDF

We report InAs/In0.53Ca0.47As/AlAs resonant tunneling diodes(RTDs)grown on InP substrate by molecular beam epitaxy.The peak to valley current ratio of these devices is 19 at 300K.A peak current density of 3kA/crn2 is obtained for RTDs with AlAs barriers of ten monolayers and anIn0.53Ca0.47As well of eight monolayer with a four-monolayer InAs insert layer.

We report InAs/In0.53Ca0.47As/AlAs resonant tunneling diodes(RTDs)grown on InP substrate by molecular beam epitaxy.The peak to valley current ratio of these devices is 19 at 300K.A peak current density of 3kA/crn2 is obtained for RTDs with AlAs barriers of ten monolayers and anIn0.53Ca0.47As well of eight monolayer with a four-monolayer InAs insert layer.
Self-Pulsation Dynamics in GaAs/AIGaAs Quantum Cascade Lasers
Liu Junqi, Liu Fengqi, Li Lu, Shao Ye, Guo Yu, Wang Zhanguo
Chin. J. Semicond.  2007, 28(S1): 44-47
Abstract PDF

Quasi-continuous wave lasing spectra of GaAs/A1GaAs quantum cascade lasers emitting at 9.76μm are characterized by step.scan time.resolved Fourier transform infrared spectroscopy.Pronounced self·pulsation in stacked emission spectra is observed in the driving current duration.Self·heating accumulation in the active region affects the electron relaxation and transport greatly.Thermally-induced carrier occupation of the higher sublevels in an injector can leak out through a resonant condition with the continuum states above the next injector,which will be facilitated by the fourth sublevel of the coupled quantum wells active region.The leaking process arising from the periodic breaking and recovering of resonant tunneling accounts for the physical mechanism of the selfpulsed effect in stacked emission spectra.

Quasi-continuous wave lasing spectra of GaAs/A1GaAs quantum cascade lasers emitting at 9.76μm are characterized by step.scan time.resolved Fourier transform infrared spectroscopy.Pronounced self·pulsation in stacked emission spectra is observed in the driving current duration.Self·heating accumulation in the active region affects the electron relaxation and transport greatly.Thermally-induced carrier occupation of the higher sublevels in an injector can leak out through a resonant condition with the continuum states above the next injector,which will be facilitated by the fourth sublevel of the coupled quantum wells active region.The leaking process arising from the periodic breaking and recovering of resonant tunneling accounts for the physical mechanism of the selfpulsed effect in stacked emission spectra.
High Precision Finishing Process for Sapphire Substrate Surface
Niu Xinhuan, Tan Baimei, Zhao Xiaohong, Liu Yuling
Chin. J. Semicond.  2007, 28(S1): 48-51
Abstract PDF

We studied the design of experiments in order to obtain optimized chemical mechanical polishing (CMP) equipment variables and treated sapphire substrate surfaces using theCMP method on a C6382IW/YJ single side polisher. According to sapphire substrate and its product properties,we chose alkali slurry and took SiO2 sol as abrasive.Various process parameters,such as table speed,slurry flow rate,temperature and down force,were investigated from th.e viewpoint of high removal rate.Through the experiment results,we determined the optimal CMP process parameters

We studied the design of experiments in order to obtain optimized chemical mechanical polishing (CMP) equipment variables and treated sapphire substrate surfaces using theCMP method on a C6382IW/YJ single side polisher. According to sapphire substrate and its product properties,we chose alkali slurry and took SiO2 sol as abrasive.Various process parameters,such as table speed,slurry flow rate,temperature and down force,were investigated from th.e viewpoint of high removal rate.Through the experiment results,we determined the optimal CMP process parameters
Preparation Optimization of CoSix Anti-Oxidation Barrier Layer for ULSI-Cu Metallization
Chen Xiuhua, Xiang Jinzhong, Xiao Xuechun, Hirai R, Shimogaki Y
Chin. J. Semicond.  2007, 28(S1): 52-57
Abstract PDF

In order to get Co(W,P)film with better anti-oxidation performance,a silicidation process design of cobalt layer using Sill or Si2 H6 is proposed.Throughout the silicidation process there is competition between Si diffusion in the Co and Cu out.diffusion through the Co.It is necessary to quantify the diffusion rates in order to realize a better silicidation effect.The diffusivities of Cu and Si in Co are examined via X.ray photoelectron spectroscopy depth profile to get some useful data for obtaining the best process sequence.On the basis of analysis of the diffusion process, the optimized region can be plotted and the anti-oxidation performance of CoSi film as verifled by experiments of chemical vapor deposition of the silicidation reaction in the optimized region is improved significantly over the non-optimized process.

In order to get Co(W,P)film with better anti-oxidation performance,a silicidation process design of cobalt layer using Sill or Si2 H6 is proposed.Throughout the silicidation process there is competition between Si diffusion in the Co and Cu out.diffusion through the Co.It is necessary to quantify the diffusion rates in order to realize a better silicidation effect.The diffusivities of Cu and Si in Co are examined via X.ray photoelectron spectroscopy depth profile to get some useful data for obtaining the best process sequence.On the basis of analysis of the diffusion process, the optimized region can be plotted and the anti-oxidation performance of CoSi film as verifled by experiments of chemical vapor deposition of the silicidation reaction in the optimized region is improved significantly over the non-optimized process.
A Test Circuit with Microstrip Filter for Microwave Power Device
Luo Weijun, Chen Xiaojuan, Liu Guoguo, Liu Xinyu, Wang Xiaoyan, Fang Cebao, Guo Lunchun, Wang Xiaoliang
Chin. J. Semicond.  2007, 28(S1): 58-61
Abstract PDF

With the principles of microwave circuits and semiconductor device physics,three kinds of microwave power device test circuits are designed and simulated,whose properties are evaluated by a parameter network analyzer within the frequency range from 3 to 8GHz.The simulated results verify that the test circuit with stepped-impedance filter bias network has a larger bandwidth than that with the radial stub.A microstrip interdigital capacitor is used in the third test circuit to replace the DC block,however,which does not show its advantage during the test frequency band.Based on the simulated results,the stepped.impedance filter test circuit can be used to evaluate microwave power devices in the whole C band,namely from 4 to 8GHz.

With the principles of microwave circuits and semiconductor device physics,three kinds of microwave power device test circuits are designed and simulated,whose properties are evaluated by a parameter network analyzer within the frequency range from 3 to 8GHz.The simulated results verify that the test circuit with stepped-impedance filter bias network has a larger bandwidth than that with the radial stub.A microstrip interdigital capacitor is used in the third test circuit to replace the DC block,however,which does not show its advantage during the test frequency band.Based on the simulated results,the stepped.impedance filter test circuit can be used to evaluate microwave power devices in the whole C band,namely from 4 to 8GHz.
Control Action of Temperature on ULSI Silicon Substrate CMP Removal Rate and Kinetics Process
Liu Yuling, Niu Xinhuan, Tan Baimei, Wang Shengli
Chin. J. Semicond.  2007, 28(S1): 62-66
Abstract PDF

The kinetics process and control process of chemical mechanical high precision finishing for material surfaces were studied. According to the experiments, the seven kinetics process for chemical mechanical polishing (CMP)was generalized.Through investigating the CMP process of ULSI silicon substrate,we found that the chemical process was the CMP control process under the same mechanical action condition, which was determined by emperature. The key factor influencing the chemical reactions was effectively settled, which will be advantageous for ireproving the CMP removal rate for other materials.

The kinetics process and control process of chemical mechanical high precision finishing for material surfaces were studied. According to the experiments, the seven kinetics process for chemical mechanical polishing (CMP)was generalized.Through investigating the CMP process of ULSI silicon substrate,we found that the chemical process was the CMP control process under the same mechanical action condition, which was determined by emperature. The key factor influencing the chemical reactions was effectively settled, which will be advantageous for ireproving the CMP removal rate for other materials.
Structure and Seebeck Effect for Transparent and Conductive CdIn2O4 Thin Film
Yang Fengfan, Fang Liang, Li Li, Fu Guangzong, Zhang Yong, Li Mingwei, Yu Jiangtao
Chin. J. Semicond.  2007, 28(S1): 67-75
Abstract PDF

Cdln2O4(CIo)thin films was prepared by direct-current (DC) reactive magnetron sputtering from a Cd.In alloy target in an Ar and O2 atmosphere.The surface morphology and structure of the CIO thin film were analyzed by AFM,XRD,chemistry composition and element valence state of it were analyzed bv XPS.Both the Seebeck effect of CIO thin film and Seebeck effect under magnetic field have been investigated.The results indicate that the CIO thin film is crvstaUine structure,its surface roughness is 1.6~2.6nm,with the crystalline size being 13~36nm and very clear grain dary.The CIO thin film consists of CIO phase and In2O3 phase,some samples still contain minimal CdO phase,Cd,In,O and C element are included in CIO thin film which is in oxygen.deficient state,the electrical property of thin film is improved. Seebeck effect is very striking;thermoelectromotive force increases linearly with the increase of differential temperature,the thermo-electromotive power decreases with the increase of resistance;the thermoelectromotive power of the thin film decreases under magnetic field. The relationship between preparing conditions and structure and the mechanism of Seebeck effect no magnetic field and under magnetic field are explored in detail.

Cdln2O4(CIo)thin films was prepared by direct-current (DC) reactive magnetron sputtering from a Cd.In alloy target in an Ar and O2 atmosphere.The surface morphology and structure of the CIO thin film were analyzed by AFM,XRD,chemistry composition and element valence state of it were analyzed bv XPS.Both the Seebeck effect of CIO thin film and Seebeck effect under magnetic field have been investigated.The results indicate that the CIO thin film is crvstaUine structure,its surface roughness is 1.6~2.6nm,with the crystalline size being 13~36nm and very clear grain dary.The CIO thin film consists of CIO phase and In2O3 phase,some samples still contain minimal CdO phase,Cd,In,O and C element are included in CIO thin film which is in oxygen.deficient state,the electrical property of thin film is improved. Seebeck effect is very striking;thermoelectromotive force increases linearly with the increase of differential temperature,the thermo-electromotive power decreases with the increase of resistance;the thermoelectromotive power of the thin film decreases under magnetic field. The relationship between preparing conditions and structure and the mechanism of Seebeck effect no magnetic field and under magnetic field are explored in detail.
Mechanism of N-Doped TiO2 Photocatalyst Response to Visible Light
Yu Huang, Zheng Xuxu, Fang Beibei
Chin. J. Semicond.  2007, 28(S1): 75-78
Abstract PDF

TiO2 is an excellent photocatalyst for its chemical stability,nontoxicity and high photocatalytic reactivity.However,TiO2 is activated only by ultraviolet light because it is a large band-gap semiconductor. Doping with nitrogen can definitely shift the absorption spectra of TiO2 toward visible light regions,but the mechanism is still under disputed.In this paper,these mechanism of N-doped TiO2 photocatalyst with visible light activity is introduced,included bandgap narrowing theory,impurity energy level theory and oxygen deficiency theory,and point out the trend of the mechanism research of N·doped TiO2.

TiO2 is an excellent photocatalyst for its chemical stability,nontoxicity and high photocatalytic reactivity.However,TiO2 is activated only by ultraviolet light because it is a large band-gap semiconductor. Doping with nitrogen can definitely shift the absorption spectra of TiO2 toward visible light regions,but the mechanism is still under disputed.In this paper,these mechanism of N-doped TiO2 photocatalyst with visible light activity is introduced,included bandgap narrowing theory,impurity energy level theory and oxygen deficiency theory,and point out the trend of the mechanism research of N·doped TiO2.
Nounique Phenomenon of Rocking Curve on (1 1 1) Surfaces of CdZnTe Wafers
Zeng Dongmei, Wang Tao, Li Qiang, Zha Gangqiang, Jie Wanqi
Chin. J. Semicond.  2007, 28(S1): 80-83
Abstract PDF

The nounique phenomenon of rocking curve on the (111) surfaces of CdZnTe wafers was first discovered in X-ray diffraction measurement.The reason of the nounique phenomenon of rocking curve came into being was that both (333) plane and (333) plane meet the Bragg diffraction.Two diffraction peaks were recorded in X-ray diffraction measurement in the course of 360’of rotation about phi axis.By optimizing each diffraction peak,we obtained two rocking curves.One rocking curve is from the(333)plane,and the other is from the (-3-3-3) plane After analyzing the scattered capacity of (333) plane and (-3-3-3) planes to X·ray,we concluded that the diffraction intensity of the (333) plane is smaller than that of the (-3-3-3) plane,and the intensity of the rocking curve on (333)plane is also smaller than that of the (-3-3-3) plane.

The nounique phenomenon of rocking curve on the (111) surfaces of CdZnTe wafers was first discovered in X-ray diffraction measurement.The reason of the nounique phenomenon of rocking curve came into being was that both (333) plane and (333) plane meet the Bragg diffraction.Two diffraction peaks were recorded in X-ray diffraction measurement in the course of 360’of rotation about phi axis.By optimizing each diffraction peak,we obtained two rocking curves.One rocking curve is from the(333)plane,and the other is from the (-3-3-3) plane After analyzing the scattered capacity of (333) plane and (-3-3-3) planes to X·ray,we concluded that the diffraction intensity of the (333) plane is smaller than that of the (-3-3-3) plane,and the intensity of the rocking curve on (333)plane is also smaller than that of the (-3-3-3) plane.
Magnetic and Optical Properties of InAs/GaAs Quantum Dots Doped by High Energy Mn Implantation
Hu Liangjun, Chen Yonghai, Ye Xiaoling, Wang Zhanguo
Chin. J. Semicond.  2007, 28(S1): 84-87
Abstract PDF

InAs/GaAs quantum dots (QDs) samples have been doped by Mn-implantation and revealed optical and magnetic properties after rapid annealing processes.The PL peaks of the QDs of the implanted samples blueshift for the inter-diffusion during annealing and the blueshifts of the heavy implanted samples are suppressed.We consider the reason that Mn ions and defect move to InAs ODs would relax the strain around the QDs,in the mean time,the clusters formed by Mn ions and defects impede the inter·diffusion of Ga and As.The cap layer formed GaMnAs and small MnAs granule,which presented magnetic properties at lOW temperature.

InAs/GaAs quantum dots (QDs) samples have been doped by Mn-implantation and revealed optical and magnetic properties after rapid annealing processes.The PL peaks of the QDs of the implanted samples blueshift for the inter-diffusion during annealing and the blueshifts of the heavy implanted samples are suppressed.We consider the reason that Mn ions and defect move to InAs ODs would relax the strain around the QDs,in the mean time,the clusters formed by Mn ions and defects impede the inter·diffusion of Ga and As.The cap layer formed GaMnAs and small MnAs granule,which presented magnetic properties at lOW temperature.
Optical Properties of InN
Sun Xian, Wang Hui, Wang Lili, Liu Wenbao, Jiang Desheng, Yang Hui
Chin. J. Semicond.  2007, 28(S1): 88-90
Abstract PDF

InN thin films are grown on GaN/sapphire substrate by metalorganic chemical vapor deposition.The electrical and optical properties of the InN films are investigated by means of Hall,absorption spectra and low-perature (10K) photoluminescence (PL) measurements. It is shown that the carrier concentration ranges from 10^18 to 10^19cm-3.The bsorption spectra , low-temperature PL measurements and PL peak shape analyses indicate that the band gap of InN is about 0.7eV. From the results of Hall,absorption spectra and PL measurements an obvious blue-shift of the absorption edge and PL peak energy is observed with increasing the carrier concentration.In addition,the uncertainty of the InN band gap making an inference from absorption spectra is discussed.

InN thin films are grown on GaN/sapphire substrate by metalorganic chemical vapor deposition.The electrical and optical properties of the InN films are investigated by means of Hall,absorption spectra and low-perature (10K) photoluminescence (PL) measurements. It is shown that the carrier concentration ranges from 10^18 to 10^19cm-3.The bsorption spectra , low-temperature PL measurements and PL peak shape analyses indicate that the band gap of InN is about 0.7eV. From the results of Hall,absorption spectra and PL measurements an obvious blue-shift of the absorption edge and PL peak energy is observed with increasing the carrier concentration.In addition,the uncertainty of the InN band gap making an inference from absorption spectra is discussed.
Analysis of Interface Luminescence in Nano-Sized Amorphous Silicon
Fu Guangsheng, Zhang Jiangyong, Ding Wenge, Lü Xueqin, Yu Wei
Chin. J. Semicond.  2007, 28(S1): 91-94
Abstract PDF

Nano-sized amorphous silicon(a-Si)clusters embedded in silicon nitride(a-SiNx:H)films with different hydrogen dilution ratio were prepared by helicon wave plasma-enhanced chemical vapor deposition (HWP-CVD) technique.The luminescence characteristics of the deposited films have been investigated by photoluminescence (PL) and hotoluminescence excitation (PLE) measurements at room temperature.Twe strong PL bands have been observed from every film.The one bule-shifted with the optical band gap increasing is due to recombination of carriers generating in the a-Si clusters,while the other located at about 2.9eV attributes to luminescence from localized state related to silicon nitride matrix surrounding the a-Si clusters.In conjunction with the absorption properties,the influence of the defect and the surface states between a-Si clusters and a-SiNx:H matrix on the interface luminescence of a-Si clusters has been analysed.

Nano-sized amorphous silicon(a-Si)clusters embedded in silicon nitride(a-SiNx:H)films with different hydrogen dilution ratio were prepared by helicon wave plasma-enhanced chemical vapor deposition (HWP-CVD) technique.The luminescence characteristics of the deposited films have been investigated by photoluminescence (PL) and hotoluminescence excitation (PLE) measurements at room temperature.Twe strong PL bands have been observed from every film.The one bule-shifted with the optical band gap increasing is due to recombination of carriers generating in the a-Si clusters,while the other located at about 2.9eV attributes to luminescence from localized state related to silicon nitride matrix surrounding the a-Si clusters.In conjunction with the absorption properties,the influence of the defect and the surface states between a-Si clusters and a-SiNx:H matrix on the interface luminescence of a-Si clusters has been analysed.
Mobility and Phonon Scattering in Epitaxial PbSe Films
Si Jianxiao, Wu Huizhen, Xu Tianning, Cao Chunfang
Chin. J. Semicond.  2007, 28(S1): 99-102
Abstract PDF

Non-intentionally doped Pbse crystalline films are grown on insulating BaF2(111) by molecular beam epitaxy.The measurements of Hall effect and temperature-dependent resistivity show P.type conductivity of the PbSe epitaxial films.At 295K all of the samples display hole concentrations of (5~8)x 10^17cm3 with mobilities of about 300cm2/(V·s). The hole mobility increases with the decrease of temperature and at 77K hole mobility is as high as 3 x 10^3 cm2/(V·s). Carrier scattering mechanisms limiting hole mobilities are theoretically analyzed,and the calculation shows that in the temperatures between 77~295K, the scattering of polar optical modes dominates the impact on the observed hole mobilities in PbSe epitaxial films.Raman spectra measurements at T≥200K observed strong optical phonon scatterings in the PbSe epitaxial films, which is consistent with the hole mobility measurements.

Non-intentionally doped Pbse crystalline films are grown on insulating BaF2(111) by molecular beam epitaxy.The measurements of Hall effect and temperature-dependent resistivity show P.type conductivity of the PbSe epitaxial films.At 295K all of the samples display hole concentrations of (5~8)x 10^17cm3 with mobilities of about 300cm2/(V·s). The hole mobility increases with the decrease of temperature and at 77K hole mobility is as high as 3 x 10^3 cm2/(V·s). Carrier scattering mechanisms limiting hole mobilities are theoretically analyzed,and the calculation shows that in the temperatures between 77~295K, the scattering of polar optical modes dominates the impact on the observed hole mobilities in PbSe epitaxial films.Raman spectra measurements at T≥200K observed strong optical phonon scatterings in the PbSe epitaxial films, which is consistent with the hole mobility measurements.
Effect of Strain on the Lattice Vibrational Properties of PbSe
Cao Chunfang, Wu Huizhen, Xu Tianning, Si Jianxiao, Chen Jing, Shen Wenzhong
Chin. J. Semicond.  2007, 28(S1): 103-106
Abstract PDF

PbSe films with different thickness were grown on lattice-mismatched BaF2 substrate using molecular beam epitaxv.Raman spectrum measurements of PbSe films observed that Pbse samples exhibited Raman active vibrational modes:the first.order 10ngitudinal optical phonons at the r.point of the Brillouin zone (LO) at 136~143cm-1,the mixed modes of firstorder longitudinal and traverse optical phonons (LO-TO) at 83~88cm-1,and the overtone of L0 at 268~280cm-1. With the change of film thickness.the LO phonon frequency of PbSe shifts obviously,as film thickness decreases the frequency increases linearly. This is attributed to the mismatched strain between epitaxial film and substrate, which leads to stress on the PbSe material. To understand the underlying mechanism of the Raman activeness,we also studied PbSe bulk single crystal and find it is Raman active as well.

PbSe films with different thickness were grown on lattice-mismatched BaF2 substrate using molecular beam epitaxv.Raman spectrum measurements of PbSe films observed that Pbse samples exhibited Raman active vibrational modes:the first.order 10ngitudinal optical phonons at the r.point of the Brillouin zone (LO) at 136~143cm-1,the mixed modes of firstorder longitudinal and traverse optical phonons (LO-TO) at 83~88cm-1,and the overtone of L0 at 268~280cm-1. With the change of film thickness.the LO phonon frequency of PbSe shifts obviously,as film thickness decreases the frequency increases linearly. This is attributed to the mismatched strain between epitaxial film and substrate, which leads to stress on the PbSe material. To understand the underlying mechanism of the Raman activeness,we also studied PbSe bulk single crystal and find it is Raman active as well.
Absorption Spectra of Nanocrystal PbSe and Doped Processes in Fibers
Cheng Cheng, Wang Xiaoyan, Lvi Deyi
Chin. J. Semicond.  2007, 28(S1): 107-110
Abstract PDF

The near-IR absorption spectra of PbSe nanocrystal(quantum dot)with 5.5nm diameter are measured.The peak of absorption cross section of the PbSe quantum dot is determined by the quantum-dot concentration,diameter and Beer-Lambert law.The doped technics making the quantum-dot fiber in a laboratory are presented by a vacuum method,an injection method and an infiltration method available.Silicic acid sol used as a dopant in the fiber core is obtained.Such silicic acid sol possesses a refractive index near the general fiber claddings.

The near-IR absorption spectra of PbSe nanocrystal(quantum dot)with 5.5nm diameter are measured.The peak of absorption cross section of the PbSe quantum dot is determined by the quantum-dot concentration,diameter and Beer-Lambert law.The doped technics making the quantum-dot fiber in a laboratory are presented by a vacuum method,an injection method and an infiltration method available.Silicic acid sol used as a dopant in the fiber core is obtained.Such silicic acid sol possesses a refractive index near the general fiber claddings.
Carriers Distribution of Si-1xGex:C Buffers Grown on Si(100) by Chemical Vapor Deposition
Xia Dongmei, Wang Ronghua, Wang Qi, Han Ping, Mei Qin, Chen Gang, Xie Zili, Xiu Xiangqian, Zhu Shunming, Gu Shulin, Shi Yi, Zhang Rong, Zheng Youdou
Chin. J. Semicond.  2007, 28(S1): 111-114
Abstract PDF

Ge graded Si1-xGex:C buffers are deposited on p-Si(100)substrates by chemical vapor deposition (CVD) method.The results show that the higher growth temperature is,the more Ge concentration and the better crystal quality will get. Except for a local n-type zone,the buffer is P-type and the concentration of the above carriers increases from the substrate to the surface.The conductive distribution of the above carriers iS also discussed.

Ge graded Si1-xGex:C buffers are deposited on p-Si(100)substrates by chemical vapor deposition (CVD) method.The results show that the higher growth temperature is,the more Ge concentration and the better crystal quality will get. Except for a local n-type zone,the buffer is P-type and the concentration of the above carriers increases from the substrate to the surface.The conductive distribution of the above carriers iS also discussed.
Transmission Spectra for InAs1-xSbx Alloy with Cutoff Wavelength of 8-12μm Grown by Melt-Epitaxy
Gao Yuzhu, Gong Xiuying, Tomuo Yamaguchi
Chin. J. Semicond.  2007, 28(S1): 115-118
Abstract PDF

A study of transmission spectra for InAsh Sb,alloy with cutoff wavelength of 8~12pm grown by melt-epitaxy (ME)was performed.The transmission spectra of the epilayers were measured using a FTIR photospectrometer.A calculation of the transmission spectra for InAs1-xSbx alloy was carried out under an assumption of composition microscopic distribution function.A fundamental agreement between experiments and theoretical calculation is obtained,demonstrating energy band gap narrowing of this material.The composition microscopic distribution in-homogeneity may affect the energy band gap structure for IIl·V mixed crystals.

A study of transmission spectra for InAsh Sb,alloy with cutoff wavelength of 8~12pm grown by melt-epitaxy (ME)was performed.The transmission spectra of the epilayers were measured using a FTIR photospectrometer.A calculation of the transmission spectra for InAs1-xSbx alloy was carried out under an assumption of composition microscopic distribution function.A fundamental agreement between experiments and theoretical calculation is obtained,demonstrating energy band gap narrowing of this material.The composition microscopic distribution in-homogeneity may affect the energy band gap structure for IIl·V mixed crystals.
White Light Emission from the Composite System of ZnS/PS
Wang Caifeng, Li Qingshan, Qi Hongxia, Zhao Bo, Lü Lei, Zhang Lichun
Chin. J. Semicond.  2007, 28(S1): 119-122
Abstract PDF

Porous silicon (PS) sample with certain porosity by electrochemical anodization of n.type silicon wafer is prepared,and then ZnS film is deposited on the PS surface by pulsed laser deposition(PLD).ZnS has a broad band gap,and it is transparent for the visible light. Under the proper excitation wavelength,the orange-red light emission from PS layer can transmit through the upper ZnS film,and combines with the blue-green emission from ZnS, forming a broad photoluminescence (PL) band in the visible region,SO intensively white light emission from the ZnS/PS composite system is obtained.The structural properties of the ZnS film on PS substrate are characterized by X.ray diffraction (XRD) and scanning electron microscope(SEM).The results show that,the quality of the ZnS film deposited on PS substrate iS poor。and the film surface is unsmoothed due to the roughness of the PS surface.

Porous silicon (PS) sample with certain porosity by electrochemical anodization of n.type silicon wafer is prepared,and then ZnS film is deposited on the PS surface by pulsed laser deposition(PLD).ZnS has a broad band gap,and it is transparent for the visible light. Under the proper excitation wavelength,the orange-red light emission from PS layer can transmit through the upper ZnS film,and combines with the blue-green emission from ZnS, forming a broad photoluminescence (PL) band in the visible region,SO intensively white light emission from the ZnS/PS composite system is obtained.The structural properties of the ZnS film on PS substrate are characterized by X.ray diffraction (XRD) and scanning electron microscope(SEM).The results show that,the quality of the ZnS film deposited on PS substrate iS poor。and the film surface is unsmoothed due to the roughness of the PS surface.
Structural Analysis of the SiCGe Epitaxial Layer Grown on SiC Substrate
Li Lianbi, Chen Zhiming, Pu Hongbin, Lin Tao, Li Jia, Chen Chunlan, Li Qingmin
Chin. J. Semicond.  2007, 28(S1): 123-126
Abstract PDF

Growth of SiCGe ternary alloy on 6H-SiC in a conventional hot-wall CVD system was studied,and the ternary alloy films were characterized by means of SEM,EDS and PL. It has been found that the samples grown at a narrow temperature region exhibit a two-phase co-growth aspect,which consists of arelatively smooth background region and some randomly distributed sphericalislands.Materials in the two phases were found to have different compositions by EDS. The islands is a Ge-rich material with Ge content of more than 40%, while the Ge content of background iS less than 1%. SEM images show that the two materials seem to have different growth rates and the background seems to be a defect-rich region,where a high density of stacking faults is evident.The PL peaks located at 2.2eV and 2.7eV come from the interband radiative recombination of the islands and background region, respectively.

Growth of SiCGe ternary alloy on 6H-SiC in a conventional hot-wall CVD system was studied,and the ternary alloy films were characterized by means of SEM,EDS and PL. It has been found that the samples grown at a narrow temperature region exhibit a two-phase co-growth aspect,which consists of arelatively smooth background region and some randomly distributed sphericalislands.Materials in the two phases were found to have different compositions by EDS. The islands is a Ge-rich material with Ge content of more than 40%, while the Ge content of background iS less than 1%. SEM images show that the two materials seem to have different growth rates and the background seems to be a defect-rich region,where a high density of stacking faults is evident.The PL peaks located at 2.2eV and 2.7eV come from the interband radiative recombination of the islands and background region, respectively.
Effects of Annealing Pressure of Nucleation Layer on High-Resistivity GaN
Xu Jian, Shen Bo, Xu Fujun, Miao Zhenlin, Wang Maojun, Huang Sen, Lu Lin, Pan Yaobo, Yang Zhijian, Zhang Guoyi
Chin. J. Semicond.  2007, 28(S1): 127-129
Abstract PDF

The influence of the annealing pressure of the nucleation layer on the resistivity of GaN films grown in metal-organic chemical vapor deposition is investigated.It is found that the sheet resistance of GaN increases over seven orders of magnitude with decreasing the annealing pressure.Under the annealing pressure of 75 tor,GaN with sheet resistance higher than 10^11Ω/口 is achieved. X-ray diffraction measurements demonstrate that the density of the edge-type dislocations increases significantly in comparison with that of screw-type dislocations with decreasing the annealing pressure in GaN.It is suggested that the high-resistance GaN is achieved due to the deep acceptor leveIs introduced by the edge-type dislocations.

The influence of the annealing pressure of the nucleation layer on the resistivity of GaN films grown in metal-organic chemical vapor deposition is investigated.It is found that the sheet resistance of GaN increases over seven orders of magnitude with decreasing the annealing pressure.Under the annealing pressure of 75 tor,GaN with sheet resistance higher than 10^11Ω/口 is achieved. X-ray diffraction measurements demonstrate that the density of the edge-type dislocations increases significantly in comparison with that of screw-type dislocations with decreasing the annealing pressure in GaN.It is suggested that the high-resistance GaN is achieved due to the deep acceptor leveIs introduced by the edge-type dislocations.
Effect of the Thickness of the Strained Si on Hall Mobility
Wang Qi, Wang Ronghua, Xia Dongmei, Zheng Youdou, Han Ping, Yu Huiqiang, Mei Qin, Xie Zili, Xiu Xiangqian, Zhu Shunming, Gu Shulin, Shi Yi, Zhang Rong
Chin. J. Semicond.  2007, 28(S1): 130-132
Abstract PDF

The strained Si layer is grown on the SiC/Si substrate by a low-pressure chemical vapor deposition (LPCVD) systern.The crystal quality of the layer is characterized by X-ray diffraction and Ramanspectroscopy.X-ray diffraction and Raman spectra of the sample indicate the Si layer is strained. The SEM image of the sample indicates there is the Si/SiC/Si structure.A high Hall mobility value of 300cm2/(V·s) (300K) is obtained in the strained Si layer,which is due to the eompressive biaxial strain in this layer.

The strained Si layer is grown on the SiC/Si substrate by a low-pressure chemical vapor deposition (LPCVD) systern.The crystal quality of the layer is characterized by X-ray diffraction and Ramanspectroscopy.X-ray diffraction and Raman spectra of the sample indicate the Si layer is strained. The SEM image of the sample indicates there is the Si/SiC/Si structure.A high Hall mobility value of 300cm2/(V·s) (300K) is obtained in the strained Si layer,which is due to the eompressive biaxial strain in this layer.
Dislocations and Microdefects in Large Diameter SI-GaAs。
Zhao Yanqiao, Liu Caichi, Hao Qiuyan, Sun Weizhong
Chin. J. Semicond.  2007, 28(S1): 133-136
Abstract PDF

The dislocation and micro-·defects in ф150mm SI-GaAs single crystal are investigated by means of chemical etching and microscopy observation.The experimental results show dislocations,micro-defects and cell structures in the samples. By analyzing the etching morphology of dislocations and micro-defects,the density and formation of defects in large diameter SIGaAs are discussed.

The dislocation and micro-·defects in ф150mm SI-GaAs single crystal are investigated by means of chemical etching and microscopy observation.The experimental results show dislocations,micro-defects and cell structures in the samples. By analyzing the etching morphology of dislocations and micro-defects,the density and formation of defects in large diameter SIGaAs are discussed.
Surface Defects and Micro Defects in LEC GaAs Crystal
Zhu Ronghui, Zeng Yiping, Bu Junpeng, Hui Feng, Zheng Hongjun, Zhao Ji, Gao Yongliang
Chin. J. Semicond.  2007, 28(S1): 137-140
Abstract PDF

We find a kind of surface defects on polished undopcd LEC GaAs wafer (100) by laser light scatter measurement,and prove that kind of defects is coming from micro defects in crystal.And the micro defects has four aggregation centers. Then there is a new way to research the macro property of crystal micro defects.by a serial of qualitative experiment,We get the conclusion that the surface defects we found is the etch pits of arsenide precipitations which exist in the crystal,and we research the distribution regular pattern of arsenide precipitations in crystal along radial and longitudinal direction and the formation principle.

We find a kind of surface defects on polished undopcd LEC GaAs wafer (100) by laser light scatter measurement,and prove that kind of defects is coming from micro defects in crystal.And the micro defects has four aggregation centers. Then there is a new way to research the macro property of crystal micro defects.by a serial of qualitative experiment,We get the conclusion that the surface defects we found is the etch pits of arsenide precipitations which exist in the crystal,and we research the distribution regular pattern of arsenide precipitations in crystal along radial and longitudinal direction and the formation principle.
GaAs Nanostructures Formed by Self-Assembled Droplet Epitaxy
Zhan Feng, Huang Shesong, Ni Haiqiao, Zhao Huan, Xiong Yonghua, Zhou Hongyu, Niu Zhichuan
Chin. J. Semicond.  2007, 28(S1): 141-144
Abstract PDF

Several GaAs nanostructures are self·assembly realized by droplet epitaxy in a lattice·matched system (AlGaAs/GaAs). Some interesting GaAs nanostructures,such as GaAs quantum dots,quantum single rings,quantum double rings and ancient Chinese coin shaped nanostructures are fabricated by varying the temperature of the crystallizing Ga droplets. The growth mechanism of these complex nanostructures is addressed.

Several GaAs nanostructures are self·assembly realized by droplet epitaxy in a lattice·matched system (AlGaAs/GaAs). Some interesting GaAs nanostructures,such as GaAs quantum dots,quantum single rings,quantum double rings and ancient Chinese coin shaped nanostructures are fabricated by varying the temperature of the crystallizing Ga droplets. The growth mechanism of these complex nanostructures is addressed.
Property of Ni-Doped ZnO-Based DMSs
Xiu Xiangqian, Li Binbin, Zhang Rong, Chen Lin, Xie Zili, Han Ping, Shi Yi, Zheng Youdou
Chin. J. Semicond.  2007, 28(S1): 145-148
Abstract PDF

Ni-doped ZnO diluted magnetic semiconductors with high-temperature ferromagnetism has been prepared by solgel methods.There is no second phase in the powder while the concentration is lower than 5%.The analysis of microstructure and magnetic properties indicated that the ferromagnetism originated from the uniform ZnO:Ni and the curie temperature is about 650K.

Ni-doped ZnO diluted magnetic semiconductors with high-temperature ferromagnetism has been prepared by solgel methods.There is no second phase in the powder while the concentration is lower than 5%.The analysis of microstructure and magnetic properties indicated that the ferromagnetism originated from the uniform ZnO:Ni and the curie temperature is about 650K.
Electrical Transport Properties of ZnO/p-Si Heterostructure
Gu Qilin, Chen Xudong, Ling Zhicong, Mei Yongfeng, Fu Jinyu, Xiao Jiju, Zhu Jianhao
Chin. J. Semicond.  2007, 28(S1): 149-152
Abstract PDF

Rectifying undoped and nitrogen-doped ZnO/p-Si heterojunctions are fabricated by plasma immersion ion implan· tation and deposition.The undoped and nitrogen-doped ZnO films are n type(n≈10^19cm-3) and highly resistive(resistivity ~10^5 Ω·cm),respectively.While forward biasing the undoped-ZnO/P-Si,the current follows ohmic behavior if the applied bias iS larger than~0.4V.However,for the nitrogen-doped-ZnO/P-Si sample,the current is ohmic for Vforward<1.0V and then transits to J-V2 for Vforward>2.5V.The transport properties of the undoped-ZnO/P-Si and the N-doped-ZnO/P·Si diodes are explained in terms of the Anderson model and the space charge limited current model,respectively.

Rectifying undoped and nitrogen-doped ZnO/p-Si heterojunctions are fabricated by plasma immersion ion implan· tation and deposition.The undoped and nitrogen-doped ZnO films are n type(n≈10^19cm-3) and highly resistive(resistivity ~10^5 Ω·cm),respectively.While forward biasing the undoped-ZnO/P-Si,the current follows ohmic behavior if the applied bias iS larger than~0.4V.However,for the nitrogen-doped-ZnO/P-Si sample,the current is ohmic for Vforward<1.0V and then transits to J-V2 for Vforward>2.5V.The transport properties of the undoped-ZnO/P-Si and the N-doped-ZnO/P·Si diodes are explained in terms of the Anderson model and the space charge limited current model,respectively.
Influence of O2/Ar Ratio on Optical Properties of ZnO Films
Yu Fen, Yan Jinliang, Ma Qiuming
Chin. J. Semicond.  2007, 28(S1): 153-156
Abstract PDF

Al-doped ZnO films were prepared from Zn and AI alloy target by RF magnetron sputtering to study the effects of the oxygen on the properties of ZAO films.The relation between the transmittance and the O2/Ar ratio and the rule of optical properties under the same O2/At ratio were investigated.The results show that the best transmittance is obtained with a O2/Ax ratio of 1:35 at 200℃,while at 250"C the ratio of 1:30 is the best to get good quality films,at 300"C the ratio is 1:15. Under the same ratio of 1:25 the best transmittance is obtained at 200℃.

Al-doped ZnO films were prepared from Zn and AI alloy target by RF magnetron sputtering to study the effects of the oxygen on the properties of ZAO films.The relation between the transmittance and the O2/Ar ratio and the rule of optical properties under the same O2/At ratio were investigated.The results show that the best transmittance is obtained with a O2/Ax ratio of 1:35 at 200℃,while at 250"C the ratio of 1:30 is the best to get good quality films,at 300"C the ratio is 1:15. Under the same ratio of 1:25 the best transmittance is obtained at 200℃.
Quality of ZnO Expitaxial Film on m-Sapphire Substrate
Cui Junpeng, Duan Yao, Wang Xiaofeng, Zeng Yiping
Chin. J. Semicond.  2007, 28(S1): 157-159
Abstract PDF

ZnO epitaxial film was grown on m-sapphire substrate by home-made CVD equipment. The size of the film is micrometer range.The structure of the ZnOfilm is investigated by XRD and DXRD. The thickness of ZnO film and its section is observed by SEM. We also investigate the optical and electrical properties of ZnO film by PL spectrum and Hall respectirely.

ZnO epitaxial film was grown on m-sapphire substrate by home-made CVD equipment. The size of the film is micrometer range.The structure of the ZnOfilm is investigated by XRD and DXRD. The thickness of ZnO film and its section is observed by SEM. We also investigate the optical and electrical properties of ZnO film by PL spectrum and Hall respectirely.
Effects of Oxygen Content on the Crystal Quality of ZnO Films Grown on Si by RF-Magnetron Sputtering
Ma Xiaocui, Liu Wenjun, Zhu Deliang, Cao Peijiang, Jiang Zongzhang, Xiao Huojie
Chin. J. Semicond.  2007, 28(S1): 160-162
Abstract PDF

We report the effects of the growth ambient on the crystal quality of ZnO films grown on Si by RF-magnetron sputtering using ZnO target.These films are highly c-axis oriented.Upon increasing the O2/(Ar+O2)ratio in the growing ambient,the deposition rate is decreased for ZnO films.The crystallinity and alignment of ZnO films are strongly dependent on O2/(At+O2) ratio,and the crystal quality of the ZnO films at O2/(At十O2) ratio≈0.45 is better than others.

We report the effects of the growth ambient on the crystal quality of ZnO films grown on Si by RF-magnetron sputtering using ZnO target.These films are highly c-axis oriented.Upon increasing the O2/(Ar+O2)ratio in the growing ambient,the deposition rate is decreased for ZnO films.The crystallinity and alignment of ZnO films are strongly dependent on O2/(At+O2) ratio,and the crystal quality of the ZnO films at O2/(At十O2) ratio≈0.45 is better than others.
Lattice Perfection of GaSb and InAs Single Crystal Substrate
Lü Xiaohong, Zhao Youwen, Sun Wenrong, Dong Zhiyuan
Chin. J. Semicond.  2007, 28(S1): 163-166
Abstract PDF

Large lattice distortion caused by high dislocation accumulation region in dendritic shape is observed on (100) wafer of GaSb by using X-ray diffraction and dislocation etching.This is caused by dendritic growth in the crystal centre origi· hated from the existence of large temperature super·cooling in the growth process.A large quantity of As excess related defect is generated in lnAs under As-rich growth condition.The defect destroys lattice perfection of InAs single crystal.

Large lattice distortion caused by high dislocation accumulation region in dendritic shape is observed on (100) wafer of GaSb by using X-ray diffraction and dislocation etching.This is caused by dendritic growth in the crystal centre origi· hated from the existence of large temperature super·cooling in the growth process.A large quantity of As excess related defect is generated in lnAs under As-rich growth condition.The defect destroys lattice perfection of InAs single crystal.
Electrical Characteristics of Cubic ZnMgO
Jin Guofen, Wu Huizhen, Liang Jun, Lao yanfeng, Yu Ping, Xu Tianning
Chin. J. Semicond.  2007, 28(S1): 167-170
Abstract PDF

In order to investigate the electrical performance of the cubic ZnMgO--a newdielectric material with wide band gap.the cubic ZnMgO film was deposited on silicon and then made into metal-insulator-semiconductor (MIS) structure.Good dielectric property with dielectric constant of 10.5±0.5 was attained by the capacitance-voltage characteristic curves of the MIS structures,and the dielectric constant decreased from 10.7 to 6.4 when the frequency changed from 1 to 8MHz.Current-voltage curves of the MIS structures were also analyzed and the mechanism of the leakage current in the dielectric material was discussed.

In order to investigate the electrical performance of the cubic ZnMgO--a newdielectric material with wide band gap.the cubic ZnMgO film was deposited on silicon and then made into metal-insulator-semiconductor (MIS) structure.Good dielectric property with dielectric constant of 10.5±0.5 was attained by the capacitance-voltage characteristic curves of the MIS structures,and the dielectric constant decreased from 10.7 to 6.4 when the frequency changed from 1 to 8MHz.Current-voltage curves of the MIS structures were also analyzed and the mechanism of the leakage current in the dielectric material was discussed.
Donor-Acceptor Luminescence in ZnO:LiCl/p-Si Films
Liu Cihui, Xu Xiaoqiu, Zhong Ze, Fu Zhuxi
Chin. J. Semicond.  2007, 28(S1): 171-174
Abstract PDF

The LiCi doped ZnO films on P.Si prepared by s01.gel were annealed at 600 ℃ and 900 ℃ in oxygen.The current-temperature (I-T) and deep level transient spectrum (DLTS) were measured in the temperature range of 77 and 325K.A stabledeep level center of E。=0.24eV in both of the samples was obtained by DLTS. The I-T figure shows that the Ec= 0.25eV deep level is related to the local state of crystal grain boundary. The PL spectrum at room temperature is of strong peak at deep level。while the peak in UV region is weak.As a result,the luminescent process should be the transition of electron from Zni to the Vzn in ZnO crystal grain.Annealed under oxygen atmospheres the intensity of deep level luminescent increased.

The LiCi doped ZnO films on P.Si prepared by s01.gel were annealed at 600 ℃ and 900 ℃ in oxygen.The current-temperature (I-T) and deep level transient spectrum (DLTS) were measured in the temperature range of 77 and 325K.A stabledeep level center of E。=0.24eV in both of the samples was obtained by DLTS. The I-T figure shows that the Ec= 0.25eV deep level is related to the local state of crystal grain boundary. The PL spectrum at room temperature is of strong peak at deep level。while the peak in UV region is weak.As a result,the luminescent process should be the transition of electron from Zni to the Vzn in ZnO crystal grain.Annealed under oxygen atmospheres the intensity of deep level luminescent increased.
Influence of Vacancy and Interstitial on Material Property of Semi-Insulating lnP Single Crystal
Zhao Youwen, Lü Xiaohong, Dong Zhiyuan, Duan Manlong, Sun Wenrong
Chin. J. Semicond.  2007, 28(S1): 175-178
Abstract PDF

Vacancy and interstitial defects in as-grown and annealed semi.insulating(SI)InP single crystal have been studied by using electrical measurement,positron lifetime spectroscopy and X-ray diffraction technique.As.grown Fe.doped SI.InP contains vacancy which gives rise to deep level compensation defects and deteriorates electrical property of the material.Vacaney is fully suppressed in SI material that is obtained by high temperature annealing undoped InP in iron phosphide ambient.A moderate concentration of interstitial exists in the annealed material.The influence of vacancy and interstitial on electrical property and thermal stability of SI-InP have been discussed based on the experimental results.

Vacancy and interstitial defects in as-grown and annealed semi.insulating(SI)InP single crystal have been studied by using electrical measurement,positron lifetime spectroscopy and X-ray diffraction technique.As.grown Fe.doped SI.InP contains vacancy which gives rise to deep level compensation defects and deteriorates electrical property of the material.Vacaney is fully suppressed in SI material that is obtained by high temperature annealing undoped InP in iron phosphide ambient.A moderate concentration of interstitial exists in the annealed material.The influence of vacancy and interstitial on electrical property and thermal stability of SI-InP have been discussed based on the experimental results.
Band Gaps of Two-Dimensional Photonic Crystal Structure Using InP Films
Dang Suihu, Han Peide, Li Chunxia, Jia Wei, Chi Mei, Liu Xuguang, Xu Bingshe
Chin. J. Semicond.  2007, 28(S1): 179-181
Abstract PDF

The concept and method of analysis of photonic crystals and band gaps are introduced into InP-film network structure,which is treated theoretically as photonic crystals.We investigate different aspects of the absolute photonic band gap (PBG) formation for 2D photonic crystal (PC) consisting of air bars drilled into InP films. The formation of PBG is exhibited and confirmed by a calculation of the transfer matrix method(TMM).We find that for InP based networks for a lattice constant of 0.45a (a = 2250nm) two PBGs exist, which ranges are from 530 to 700nm and from 800 to 940nm at visible and near-infrared frequency.

The concept and method of analysis of photonic crystals and band gaps are introduced into InP-film network structure,which is treated theoretically as photonic crystals.We investigate different aspects of the absolute photonic band gap (PBG) formation for 2D photonic crystal (PC) consisting of air bars drilled into InP films. The formation of PBG is exhibited and confirmed by a calculation of the transfer matrix method(TMM).We find that for InP based networks for a lattice constant of 0.45a (a = 2250nm) two PBGs exist, which ranges are from 530 to 700nm and from 800 to 940nm at visible and near-infrared frequency.
Growth and characterization of InP-based and phosphorous-involved materials for applications to HBTs by GSMBE were studied systematically. High quality 50ram InP-based HBT and 100mm InGaP/GaAs HBT epitaxial materials were obtained through optimizing the HBT structure design and the GSMBE growth condition. It is shown that the HBT devices and circuits with high performance can be achieved by using the epi-wafers grown by the GSMBE technology developed in this work.
Qi Ming, Xu Anhuai, Ai Likun, Sun Hao, Zhu Fuying
Chin. J. Semicond.  2007, 28(S1): 182-185
Abstract PDF

Growth and characterization of InP-based and phosphorous-involved materials for applications to HBTs by GSMBE were studied systematically.High quality 50ram InP-based HBT and 100mm InGaP/GaAs HBT epitaxial materials were obtained through optimizing the HBT structure design and the GSMBE growth condition. It is shown that the HBT devices and circuits with high performance can be achieved by using the epi-wafers grown by the GSMBE technology developed in this work.

Growth and characterization of InP-based and phosphorous-involved materials for applications to HBTs by GSMBE were studied systematically.High quality 50ram InP-based HBT and 100mm InGaP/GaAs HBT epitaxial materials were obtained through optimizing the HBT structure design and the GSMBE growth condition. It is shown that the HBT devices and circuits with high performance can be achieved by using the epi-wafers grown by the GSMBE technology developed in this work.
Semi-Insulating Long InP Single Crystal Growth
Sun Niefeng, Mao Luhong, Guo Weilian, Zhou Xiaolong, Yang Ruixia, Zhang Weiyu, Sun Tongnian
Chin. J. Semicond.  2007, 28(S1): 186-189
Abstract PDF

Long-length 50,75,100mm semi·insulating InP single crystals have been grown by HP-LEC method. The maximum total length of the 50ram single crystal part was 190mm,the maximum total length of the 75 and 100mm single crystal was 150mm.The key technologies of reducing twining were also discussed.

Long-length 50,75,100mm semi·insulating InP single crystals have been grown by HP-LEC method. The maximum total length of the 50ram single crystal part was 190mm,the maximum total length of the 75 and 100mm single crystal was 150mm.The key technologies of reducing twining were also discussed.
Heteroepitaxy of InP/GaAs by MOCVD
Zhou Jing, Wang Qi, Xiong Deping, Cai Shiwei, Huang Hui, Huang Yongqing, Ren Xiaomin
Chin. J. Semicond.  2007, 28(S1): 190-192
Abstract PDF

InP is grown on GaAs substrate by metalorganic chemical vapor deposition(MOCVD).The InP low temperature buffer layer is firstly deposited on the substrate followed by the InP epitaxial layer.It is found that the buffer layer’s grown at 450"C with 15nm thickness could obtain high quality InP epitaxial layer.Furthermore,with increasing the epitaxial layer's thickness,the sample's crystalline quality is enhanced.Finally,the double crystal X·ray diffraction (DCXRD) measurement with off20 scan shows that the annealed sample can obtain the full width at half maximum (FWHM)of 238.5".

InP is grown on GaAs substrate by metalorganic chemical vapor deposition(MOCVD).The InP low temperature buffer layer is firstly deposited on the substrate followed by the InP epitaxial layer.It is found that the buffer layer’s grown at 450"C with 15nm thickness could obtain high quality InP epitaxial layer.Furthermore,with increasing the epitaxial layer's thickness,the sample's crystalline quality is enhanced.Finally,the double crystal X·ray diffraction (DCXRD) measurement with off20 scan shows that the annealed sample can obtain the full width at half maximum (FWHM)of 238.5".
Growth of High AI Content AIGaN Epilayer by MOCVD
Wang Xiaoyan, Wang Xiaoliang, Hu Guoxin, Wang Baozhu, Li Jianping, Xiao Hongling, Wang Junxi, Liu Hongxin, Zeng Yiping, Li Jinmin
Chin. J. Semicond.  2007, 28(S1): 193-196
Abstract PDF

High AI content A1GaN films are grown on sapphire substrate by metalorganie chemical vapor deposition (MOCVD) using AIN/AIGaN superlattices.Transmission measurements combined with double·crystal X-ray diffraction (DCXRD) And scanning electron microscopy (SEM) are used to characterize the AIGaN epilayers.The optical properties, crystal quality and surface morphology of A1GaN epilayers deposited at 1.33×10^4 and 0.66 X 10^4 Pa are also compared.

High AI content A1GaN films are grown on sapphire substrate by metalorganie chemical vapor deposition (MOCVD) using AIN/AIGaN superlattices.Transmission measurements combined with double·crystal X-ray diffraction (DCXRD) And scanning electron microscopy (SEM) are used to characterize the AIGaN epilayers.The optical properties, crystal quality and surface morphology of A1GaN epilayers deposited at 1.33×10^4 and 0.66 X 10^4 Pa are also compared.
Effects of Growth Temperature Oil the InAIGaN Epilayer by RF-MBE
Wang Baozhu, Wang Xiaoliang, Wang Xiaoyan, Wang Xinhua, Guo Lunchun, Xiao Hongling, Wang Cuimei, Ran Junxue, Wang Junxi, Liu Hongxin, Li Jinmin
Chin. J. Semicond.  2007, 28(S1): 197-199
Abstract PDF

Single crystalline InAIGaN films are grown on sapphire substrate by radio-frequency plasma-excited molecular beam epitaxy (RF-MBE).With the increase of growth temperature,the In content decreases,while the AI and Ga content increase.The InAIOaN grown at high temperature(600℃and 590℃)has some cracks on the surface.The surface of InAl.GaN grown at 580。C is very smoothing.There were SOme hillocks on the surface of InAIGaN film grown at 570℃.

Single crystalline InAIGaN films are grown on sapphire substrate by radio-frequency plasma-excited molecular beam epitaxy (RF-MBE).With the increase of growth temperature,the In content decreases,while the AI and Ga content increase.The InAIOaN grown at high temperature(600℃and 590℃)has some cracks on the surface.The surface of InAl.GaN grown at 580。C is very smoothing.There were SOme hillocks on the surface of InAIGaN film grown at 570℃.
High Resistivity Step-Graded InAIAs/GaAs Metamorphic Buffer Layers Grown by Molecular Beam Epitaxy in Low Temperature
Gao Hongling, Wang Baoqiang, Zhu Zhanping, Li Chengji, Duan Ruifei, Zeng Yiping
Chin. J. Semicond.  2007, 28(S1): 200-203
Abstract PDF

High resistivity step-graded InAlAs/GaAs metamorphic buffer has been achieved on(001)SI.GaAs substrate by MBE in low temperature.The resistivity is 2.6×10^4Ω·cm in room temperature,when the growth temperature is 340℃. Surface morphology is observed by atomic force microscopy with RMS of 1.79nm.Furthermore,the ω-2θ scan using triple-axis X·ray diffraction shows that the sample has better crystalline quality.The electron transport properties of the buffer layers were investigated through variable temperature Hall measurements for the first time.The high resistivity mechanism was investigated by thermally stimulated current (TSC). The carrier concentrations and Hall mobilities of the InAlAs/InGaAs/GaAs MM-HEMT structure on low temperature step graded InAIAs metamorphic buffer layers grown in optimized conditions are high quality.

High resistivity step-graded InAlAs/GaAs metamorphic buffer has been achieved on(001)SI.GaAs substrate by MBE in low temperature.The resistivity is 2.6×10^4Ω·cm in room temperature,when the growth temperature is 340℃. Surface morphology is observed by atomic force microscopy with RMS of 1.79nm.Furthermore,the ω-2θ scan using triple-axis X·ray diffraction shows that the sample has better crystalline quality.The electron transport properties of the buffer layers were investigated through variable temperature Hall measurements for the first time.The high resistivity mechanism was investigated by thermally stimulated current (TSC). The carrier concentrations and Hall mobilities of the InAlAs/InGaAs/GaAs MM-HEMT structure on low temperature step graded InAIAs metamorphic buffer layers grown in optimized conditions are high quality.
Growth,Structure and Magnetic Property of zb-CrAs Films on Different Buffers of InGaAs and GaAs
Bi Jingfeng, Zhao Jianhua, Deng Jiajun, Zheng Yuhong, Wang Weizhu, Li Shushen
Chin. J. Semicond.  2007, 28(S1): 204-207
Abstract PDF

Zincblende CrAs thin films were grown on InGaAs and GaAs buffer layers by using low-temperature molecular beam epitaxy respectively.High-resolution cross-sectional transmission electron micrographs show that the CrAs thin films grown on both InGaAs and GaAs buffer layers keep zincblende.The curves of remanent magnetization versus temperature indicate that the room·temperature ferromagnetism exists in these two films.

Zincblende CrAs thin films were grown on InGaAs and GaAs buffer layers by using low-temperature molecular beam epitaxy respectively.High-resolution cross-sectional transmission electron micrographs show that the CrAs thin films grown on both InGaAs and GaAs buffer layers keep zincblende.The curves of remanent magnetization versus temperature indicate that the room·temperature ferromagnetism exists in these two films.
Formation and Diffusion of Ordered Quantum Clusters on (001) GaAs Substrate Induced by Masked Ion Implantation
Zhou Huiying, Qu Shengchun, Liu Junpeng, Wang Zhanguo
Chin. J. Semicond.  2007, 28(S1): 208-210
Abstract PDF

Ion implantation technology is an important way to prepare low dimension structure materials.It can form uniform and ordered nanoclusters through controlling implantation energy,implantation dose and temperature.High energy Indium ions are implanted into GaAs(001) substrate selectively through using anodic alumina templates and uniform and ordered nanoclusters arb obtained.We observe that diffusion of adatoms in GaAs (001) substrate is anisotropy and diffusion increases with the increase of annealing temperature.

Ion implantation technology is an important way to prepare low dimension structure materials.It can form uniform and ordered nanoclusters through controlling implantation energy,implantation dose and temperature.High energy Indium ions are implanted into GaAs(001) substrate selectively through using anodic alumina templates and uniform and ordered nanoclusters arb obtained.We observe that diffusion of adatoms in GaAs (001) substrate is anisotropy and diffusion increases with the increase of annealing temperature.
Growth and Characterization of Diluted Magnetic Cr-Doped InAs Self-Organized Quantum Dots
Zheng Yuhong, Zhao Jianhua, Bi Jingfeng, Wang Weizhu, Deng Jiajun, Xia Jianba
Chin. J. Semicond.  2007, 28(S1): 211-214
Abstract PDF

Cr-doped InAs self-organized ferromagnetic quantum dots(QDs)were grown on GaAs(001) substrate by low-temperature molecular·beam epitaxy.High-resolution transmission electron microscopy(HRTEM)analyses show that the I. nAs:Cr quantum。dots layers remain zinc blende structure well.Magnetic measurements demonstrate that the ferromagnetic transition temperature exceeds 400K in InAs:Cr self-organized ODs.

Cr-doped InAs self-organized ferromagnetic quantum dots(QDs)were grown on GaAs(001) substrate by low-temperature molecular·beam epitaxy.High-resolution transmission electron microscopy(HRTEM)analyses show that the I. nAs:Cr quantum。dots layers remain zinc blende structure well.Magnetic measurements demonstrate that the ferromagnetic transition temperature exceeds 400K in InAs:Cr self-organized ODs.
1.3μm Photoluminescence from Multi-Stacked InAs/GaAs Quantum Dot Structure
Liu Ning, Jin Peng, Wu Ju, Wang Zhanguo
Chin. J. Semicond.  2007, 28(S1): 215-217
Abstract PDF

Single layer and five layer stacks of self-organized InAs/GaAs quantum dots(QDs)were grown by molecular beam epitaxy.Room-temperature 1.3μm photoluminescence(PL)was obtained for the five layer stacked sample,showing 180nm peak redshift and remarkable width narrowing,as compared with the PL spectrum for the single layer QD sample.By combining with the transmission electron microscopy measurement,the PL peak redshift and width narrowing are explained in term of the size enhancement of upper layer QDs and energy state coupling among different QD layers.

Single layer and five layer stacks of self-organized InAs/GaAs quantum dots(QDs)were grown by molecular beam epitaxy.Room-temperature 1.3μm photoluminescence(PL)was obtained for the five layer stacked sample,showing 180nm peak redshift and remarkable width narrowing,as compared with the PL spectrum for the single layer QD sample.By combining with the transmission electron microscopy measurement,the PL peak redshift and width narrowing are explained in term of the size enhancement of upper layer QDs and energy state coupling among different QD layers.
Fast Epitaxy of 3C-SiC Grown on Si Substrate
Li Jiaye, Zhao Yongmei, Liu Xingfang, Sun Guosheng, Wang Lei, Zhao Wanshun, Luo Muchang, Zeng Yiping, Li Jinmin
Chin. J. Semicond.  2007, 28(S1): 218-220
Abstract PDF

Fast epitaxy of 3C·SiC grown on substrate Si(100) and Si(111) was carried out by using the vertical low·pressure CVD system.SiC epilayers were characterized by Nomarski microscope and X·ray diffraction.The correlation between growth rate of 3C-SiC and the flow rate of precursors,mechanism of HCl in growth process,and crystallinity and orientation of 3C·SiC epilayers were investigated and discussed.

Fast epitaxy of 3C·SiC grown on substrate Si(100) and Si(111) was carried out by using the vertical low·pressure CVD system.SiC epilayers were characterized by Nomarski microscope and X·ray diffraction.The correlation between growth rate of 3C-SiC and the flow rate of precursors,mechanism of HCl in growth process,and crystallinity and orientation of 3C·SiC epilayers were investigated and discussed.
Growth of Vanadium Doped Semi-Insulating 6H-SiC
Ning Lina, Hu Xiaobo, Chen Xiufang, Li Juan, Wang Yingmin, Jiang Shouzhen, Xu Xiangang
Chin. J. Semicond.  2007, 28(S1): 221-224
Abstract PDF

Semi-insulating SiC bulk crystals exhibiting resistivities up to 1.8 x 10^10 Ω·cm were obtained with vanadium doped sublimation method.Secondary ion mass spectrometry and glow discharge mass spectroscopy were used to determine the concentration of the impurities (such as B,Al,V,N) in the Source and crystals. The electrical properties of the crystals were assessed by I-V curves.It was found that the content of vanadium affects the quality of the as-grown crystals.

Semi-insulating SiC bulk crystals exhibiting resistivities up to 1.8 x 10^10 Ω·cm were obtained with vanadium doped sublimation method.Secondary ion mass spectrometry and glow discharge mass spectroscopy were used to determine the concentration of the impurities (such as B,Al,V,N) in the Source and crystals. The electrical properties of the crystals were assessed by I-V curves.It was found that the content of vanadium affects the quality of the as-grown crystals.
Effects of Different Heights and Spaces of a Multi-Turn Inductive Coil on Temperature Distribution in the Large-Size 6H-SiC Growth System
Zhang Qunshe, Chen Zhiming
Chin. J. Semicond.  2007, 28(S1): 225-229
Abstract PDF

The influences of different spaces between turns of the induction coil and different relative positions between the coil and the crucible on the temperature distribution of the growth chamber,SiC powder,growing crystal and the radial tern- perature gradients of crystal growth surfaces are investigated systematically for the large size 6H-SiC growth. All simulations results indicate that the temperature field in the growth system can be optimized by adjusting the height and spaces between turns of inductive coil,assuming that the output power and frequency,as well as inner radius of blind hole are constant.

The influences of different spaces between turns of the induction coil and different relative positions between the coil and the crucible on the temperature distribution of the growth chamber,SiC powder,growing crystal and the radial tern- perature gradients of crystal growth surfaces are investigated systematically for the large size 6H-SiC growth. All simulations results indicate that the temperature field in the growth system can be optimized by adjusting the height and spaces between turns of inductive coil,assuming that the output power and frequency,as well as inner radius of blind hole are constant.
Morphology of GaN Film on Si(1 l 1) Substrate Using AIN Buffer
Liu Zhe, Wang Xiaoliang, Wang Junxi, Hu Guoxin, Li Jianping, Zeng Yiping, Li Jinmin
Chin. J. Semicond.  2007, 28(S1): 230-233
Abstract PDF

Specific morphology of GaN grown on Si substrate was investigated by SEM,EDS,AFM et a1.The research on growth mode and formed mechanism of GaN using/kiN as a buffer were also performed.It was also found that the thickness of the buffer and growth temperature of GaN had very important influence on crack and surface defects of GaN.

Specific morphology of GaN grown on Si substrate was investigated by SEM,EDS,AFM et a1.The research on growth mode and formed mechanism of GaN using/kiN as a buffer were also performed.It was also found that the thickness of the buffer and growth temperature of GaN had very important influence on crack and surface defects of GaN.
Growth of GaN on Si(1 l 1) by Inserting 5AI/AIN Buffer Layer
Guo Lunchun, Wang Xiaoliang, Hu Guoxin, Li Jianping, Luo Weijun
Chin. J. Semicond.  2007, 28(S1): 234-237
Abstract PDF

GaN films with and without a Al/AIN buffer layers are grown on Si(111) substrate via metalorganic chemical vapor deposition (MOCVD),respectively.The films are characterized by optical microscopy( OM),X-ray diffraction rocking curve (XRDRC),and Raman scattering(RS). OM shows that the GaN film inserting with 8Al/AlN buffer layers has less cracks than film without any δAl/AIN buffer layers.XRDRC demonstrates good quality of the GaN film with δAl/AIN buffer layers and Raman scattering analyses reveal that it is an effective way to overcome the difficulties of growing GaN on Si(111)sub. strate by inserting δAl/AIN buffer layers.

GaN films with and without a Al/AIN buffer layers are grown on Si(111) substrate via metalorganic chemical vapor deposition (MOCVD),respectively.The films are characterized by optical microscopy( OM),X-ray diffraction rocking curve (XRDRC),and Raman scattering(RS). OM shows that the GaN film inserting with 8Al/AlN buffer layers has less cracks than film without any δAl/AIN buffer layers.XRDRC demonstrates good quality of the GaN film with δAl/AIN buffer layers and Raman scattering analyses reveal that it is an effective way to overcome the difficulties of growing GaN on Si(111)sub. strate by inserting δAl/AIN buffer layers.
Hydride Vapor Phase Epitaxy of Thick GaN with Low Temperature AIN Interlayers
Yu Guanghui, Lei Benliang, Meng Sheng, Wang Xinzhong, Lin Chaotong, Qi Ming
Chin. J. Semicond.  2007, 28(S1): 238-240
Abstract PDF

Thick GaN films are grown by hydride vapor phase epitaxy with low temperature AIN interlayers(LT·AlN). Influence of annealing time of LT-AlN on the crystalline quality of GaN films is studied.Surface morphology of LT-AlN layers changes after annealing.Crystalline quality can be improved with a suitable LT-AlN annealing time.

Thick GaN films are grown by hydride vapor phase epitaxy with low temperature AIN interlayers(LT·AlN). Influence of annealing time of LT-AlN on the crystalline quality of GaN films is studied.Surface morphology of LT-AlN layers changes after annealing.Crystalline quality can be improved with a suitable LT-AlN annealing time.
High Quality GaN Films Growth on Pre-Treated Sapphire Substrate
Peng Dongsheng, Feng Yuchun, Niu Hanben
Chin. J. Semicond.  2007, 28(S1): 241-244
Abstract PDF

Etch pits on sapphire substrate surface are formed after surface treating.GaN films have been grown by LP-MOCVD on the sapphire substrate,a half of which are treated by chemical etch.The crystal quality and optical quality of GaN films are analyzed by high.resolution double crystal X-ray diffraction(DCXRD)and optical transmission measurement. Results indicate that the crystal quality of GaN determined by transmission measurement is in agreement with that determined by DCXRD.that the crystal quality and optical quality of GaN films are enhanced by pre-treating sapphire substrate' and that the(0002)plane and(1012)plane full-width at half-maximum by DCXRD of GaN films grown on pre·treated sapphire substrate are as low as 208.80" and 320.76",respectively.

Etch pits on sapphire substrate surface are formed after surface treating.GaN films have been grown by LP-MOCVD on the sapphire substrate,a half of which are treated by chemical etch.The crystal quality and optical quality of GaN films are analyzed by high.resolution double crystal X-ray diffraction(DCXRD)and optical transmission measurement. Results indicate that the crystal quality of GaN determined by transmission measurement is in agreement with that determined by DCXRD.that the crystal quality and optical quality of GaN films are enhanced by pre-treating sapphire substrate' and that the(0002)plane and(1012)plane full-width at half-maximum by DCXRD of GaN films grown on pre·treated sapphire substrate are as low as 208.80" and 320.76",respectively.
Numerical Simulation of Gas Phase and Surface Reaction for Growth of GaN by MOCVD
Gao Lihua, Yang Yunke, Chen Haixin, Fu Song
Chin. J. Semicond.  2007, 28(S1): 245-248
Abstract PDF

Computational fluid dynamics (CFD) simulation is performed three-dimensionally to study the flow field,gas phase reaction and growth rate in vertical metal organic chemical vapor deposition (MOCVD) reactor with rotating wafers for the growth of gallium nitride(GaN). The effects of wafers rotation,MOCVD reaction chamber height,ammonia influx and tem- perature distribution on the substrate surface are investigated which impact greatly on the flow field,gas phase reaction and the growth rate of GaN. The simulated results give some improvement suggestions to the operation parameters of the MOCVD reactor.

Computational fluid dynamics (CFD) simulation is performed three-dimensionally to study the flow field,gas phase reaction and growth rate in vertical metal organic chemical vapor deposition (MOCVD) reactor with rotating wafers for the growth of gallium nitride(GaN). The effects of wafers rotation,MOCVD reaction chamber height,ammonia influx and tem- perature distribution on the substrate surface are investigated which impact greatly on the flow field,gas phase reaction and the growth rate of GaN. The simulated results give some improvement suggestions to the operation parameters of the MOCVD reactor.
Growth and Characterization of m Plane GaN Material by MOCVD
Xie Zili, Zhang Rong, Han Ping, Liu Chengxiang, Xiu XiangQian, Liu Bin, Li Liang, Zhao Hong, Zhu Shunming, Jiang Ruolian, Zhou Shengmin, Shi Yi, Zheng Youdou
Chin. J. Semicond.  2007, 28(S1): 249-252
Abstract PDF

The c plane GaN and related materials have the built-in electric fields along C direction,this built-in electric fields limit the rise to the quantum efficiency. The quantum efficiency of the device fabricated by m plane GaN and related materi- als may get high due to non·polarization and no the built in electric fields. The m-plane GaN single crystal has been grown by metal.organic chemical vapor deposition(MOCVD).The effects of the growth conditions have been studied.

The c plane GaN and related materials have the built-in electric fields along C direction,this built-in electric fields limit the rise to the quantum efficiency. The quantum efficiency of the device fabricated by m plane GaN and related materi- als may get high due to non·polarization and no the built in electric fields. The m-plane GaN single crystal has been grown by metal.organic chemical vapor deposition(MOCVD).The effects of the growth conditions have been studied.
Theoretical Simulation of Vertical HVPE Reactor and GaN Thick Film Growth
Ma Ping, Duan Yao, Wei Tongbo, Duan Ruifei, Wang Junxi, Zeng Yiping, Li Jinmin
Chin. J. Semicond.  2007, 28(S1): 253-256
Abstract PDF

According to the model of fluid dynamic,distribution of gas concentration,as well as the thermal field,in the reactor was simulated.NH3 concentration distributes homogeneously around the substrate,while GaCl concentration is larger in center than that in the periphery.The experimental results show that the growth rate is 260 um/h in center and 140pm/h in the periphery,respectively.The FWHM is 141”.It is O impurity that arouses the strong yellow uminescence.

According to the model of fluid dynamic,distribution of gas concentration,as well as the thermal field,in the reactor was simulated.NH3 concentration distributes homogeneously around the substrate,while GaCl concentration is larger in center than that in the periphery.The experimental results show that the growth rate is 260 um/h in center and 140pm/h in the periphery,respectively.The FWHM is 141”.It is O impurity that arouses the strong yellow uminescence.
Influence of Temperature on MOCVD Growth of InGaN
Wang Lili, Wang Hui, Sun Xian, Wang Hai, Zhu Jianjun, Yang Hui, Liang Junwu
Chin. J. Semicond.  2007, 28(S1): 257-259
Abstract PDF

InGaN thin films are grown on GaN/sapphire composite substrate by metalorganic chemical vapor deposition (MOCVD) between 550℃and 700℃.The effect of growth temperature on the properties of the InGaN films is studied by means of X-ray diffraction (XRD) and photoluminescence (PL).InGaN with higher In composition was obtained at a lower temperature. However.10w growth temperature and high In composition degrade the crystal quality of InGaN.The XRD measurement indicated that there is no phase separation in the sample with In composition as high as 0.57.The PL peak ell· ergy shifts to lower energy with increasing In composition,and the full width at half width(FWHM)of the PL peak increa· ses with increasing In composition.

InGaN thin films are grown on GaN/sapphire composite substrate by metalorganic chemical vapor deposition (MOCVD) between 550℃and 700℃.The effect of growth temperature on the properties of the InGaN films is studied by means of X-ray diffraction (XRD) and photoluminescence (PL).InGaN with higher In composition was obtained at a lower temperature. However.10w growth temperature and high In composition degrade the crystal quality of InGaN.The XRD measurement indicated that there is no phase separation in the sample with In composition as high as 0.57.The PL peak ell· ergy shifts to lower energy with increasing In composition,and the full width at half width(FWHM)of the PL peak increa· ses with increasing In composition.
MOCVD Growth of InN Films on Sapphire Substrates
Xiao Hongling, Wang Xiaoliang, Yang Cuibai, Hu Guoxin, Ran Junxue, Wang Cuimei, Zhang Xiaobin, Li Jianping, Li Jinmin
Chin. J. Semicond.  2007, 28(S1): 260-262
Abstract PDF

InN films with undoped-GaN buffer layer were successfully grown on sapphire substrates by metalorganic chemical vapor deposition (MOCVD) on (0001) sapphire substrate.No indium droplets on the surface of the grown InN films.Atomic force microscopy and double·crystal X-ray diffraction (DCXRD) were used to characterize the InN films.The results show that the InN films have good crystallinity,with full width at half maximum (FWHM) InN(0002) DCXRD peak of 9.187. The room temperature Hall mobility of the films is 696cm2/(v·s).

InN films with undoped-GaN buffer layer were successfully grown on sapphire substrates by metalorganic chemical vapor deposition (MOCVD) on (0001) sapphire substrate.No indium droplets on the surface of the grown InN films.Atomic force microscopy and double·crystal X-ray diffraction (DCXRD) were used to characterize the InN films.The results show that the InN films have good crystallinity,with full width at half maximum (FWHM) InN(0002) DCXRD peak of 9.187. The room temperature Hall mobility of the films is 696cm2/(v·s).
Growth of AIN Crystals by PVT
Wu Honglei, Zheng Ruisheng, Sun Xiuming
Chin. J. Semicond.  2007, 28(S1): 263-266
Abstract PDF

Growth conditions for self-nucleation and subsequent growth of AIN crystals by PVT are presented.The crucible module with a graphite ring is introduced to avoid adhesion of the tungsten crucible and its lid at high temperatures.With in- creasing growth temperature,the natural habit of AIN crystals changes from needle-like to prismatic. When growth tempera· ture is above 1950"C,bulk AlN crystals can be grown.Measurement of supersaturation is found to impact the crystals’size and quality.Now high quality and hexagonal prism AIN single crystals with the diameter of lmm are grown,and the largest single crystal size is 2mm in diameter.

Growth conditions for self-nucleation and subsequent growth of AIN crystals by PVT are presented.The crucible module with a graphite ring is introduced to avoid adhesion of the tungsten crucible and its lid at high temperatures.With in- creasing growth temperature,the natural habit of AIN crystals changes from needle-like to prismatic. When growth tempera· ture is above 1950"C,bulk AlN crystals can be grown.Measurement of supersaturation is found to impact the crystals’size and quality.Now high quality and hexagonal prism AIN single crystals with the diameter of lmm are grown,and the largest single crystal size is 2mm in diameter.
Growth and Characterization of Zn Doped SnO2 Nanowires
Meng Hui, Wang Cong
Chin. J. Semicond.  2007, 28(S1): 267-270
Abstract PDF

Zn doped SnOz(ZSO)nanowires were fabricated on Si substrates by chemical vapor deposition (CVD) on a large scale at 770。C.The ZSO nanowires had diameters in the range of 30~100nm and lengths of several tens of micrometers. They were characterized by means of X-ray powder diffractio n(XRD),field-emission scanning electron microscopy(FESEM)一energy·dispersive X-ray (EDX) analysis,transmission electron microscopy (TEM),and X·ray photoelectron spectroscopy(xPs).

Zn doped SnOz(ZSO)nanowires were fabricated on Si substrates by chemical vapor deposition (CVD) on a large scale at 770。C.The ZSO nanowires had diameters in the range of 30~100nm and lengths of several tens of micrometers. They were characterized by means of X-ray powder diffractio n(XRD),field-emission scanning electron microscopy(FESEM)一energy·dispersive X-ray (EDX) analysis,transmission electron microscopy (TEM),and X·ray photoelectron spectroscopy(xPs).
A Novel Solid Source for Fabrication of High Quality ZnO Film
Dai Liping, Deng Hong, Chen Gen, Chen Jinju
Chin. J. Semicond.  2007, 28(S1): 271-274
Abstract PDF

A novel solid source was used for fabrication of high quality ZnO thin film on silicon(100)by single source chemi cal vapor deposition(SSCVD).The ZnO films were characterized by Fourier transform infrared spectroscopy and thermo gravimetric analysis,proving that the solid source is with the chemical formula of Zrh(OH)2(02 CCH3)6·2H2O and has volatility and thermolysis properties suitable for the growth of ZnO film bV SSCVD. Also,the ZnO films were evaluated X. ray diffraction,scanning electronic microscope,X-ray photoelectron spectroscopy,and photoluminescence measurement. The results demonstrate that the films are of high quality.

A novel solid source was used for fabrication of high quality ZnO thin film on silicon(100)by single source chemi cal vapor deposition(SSCVD).The ZnO films were characterized by Fourier transform infrared spectroscopy and thermo gravimetric analysis,proving that the solid source is with the chemical formula of Zrh(OH)2(02 CCH3)6·2H2O and has volatility and thermolysis properties suitable for the growth of ZnO film bV SSCVD. Also,the ZnO films were evaluated X. ray diffraction,scanning electronic microscope,X-ray photoelectron spectroscopy,and photoluminescence measurement. The results demonstrate that the films are of high quality.
Effects of Growth Parameters on Proprties of P-Type ZnO Films Grown by MOCVD
Lu Yangfan, Ye Zhizhen, Zeng Yujia, Xu Weizhong, Zhu Liping, Zhao Binghui
Chin. J. Semicond.  2007, 28(S1): 275-278
Abstract PDF

P-type N-ZnO thin films are grown by plasma-assisted metalorganic chemical vapor deposition(MOCVD).Effects of substrate temperature,RF power and flux of DEZn on properties of ZnO films are investigated.

P-type N-ZnO thin films are grown by plasma-assisted metalorganic chemical vapor deposition(MOCVD).Effects of substrate temperature,RF power and flux of DEZn on properties of ZnO films are investigated.
Fabrication of Sb-Doped P-Type ZnO Thin Films by PLD
Pan Xinhua, Ye Zhizhen, Zhu Liping, Gu Xiuquan, He Haiping
Chin. J. Semicond.  2007, 28(S1): 279-281
Abstract PDF

Antimony-doped p-type ZnO thin films were deposited on quartz substrates by pulsed laser deposition.X-ray diffraction shows that the films are highly(002)-oriented.X·ray photoelectron spectroscopy verifies that antimony(Sb)has been doped into ZnOthin films,and the core Sb occupies the Zn site but not the O site.Hall measurement shows that the best p-type ZnO film has a low resistivity of 2.21Ω·cm,a hole concentration of 2.30 x 10^18 cm-3 and a Hall mobility of 1.23cm2/(v·s).

Antimony-doped p-type ZnO thin films were deposited on quartz substrates by pulsed laser deposition.X-ray diffraction shows that the films are highly(002)-oriented.X·ray photoelectron spectroscopy verifies that antimony(Sb)has been doped into ZnOthin films,and the core Sb occupies the Zn site but not the O site.Hall measurement shows that the best p-type ZnO film has a low resistivity of 2.21Ω·cm,a hole concentration of 2.30 x 10^18 cm-3 and a Hall mobility of 1.23cm2/(v·s).
Single Crystalline Co-Doped ZnO Thin Films by Molecular Beam Epitaxy and Room Temperature Ferromagnetism
Cao Qiang, Liu Guolei, Deng Jiangxia, Xing Pengfei, Tian Yufeng, Chen Yanxue, Yan Shishen, Mei Liangmo
Chin. J. Semicond.  2007, 28(S1): 282-284
Abstract PDF

Single crystalline Zn1-xCoxO thin films grown on sapphire(0001)substrates by using molecular beam epitaxy (MBE)with various Co contents of 0≤x≤0.12 were studied,and their structure,optical and magnetic properties were examined.Optical transmission measurements and ln situ XPSshow that the Co cation is incorporated into the ZnO matrix and there is no secondary phase.Room and low.temperature magnetization measurements show that the Zn1-xCoxO epilayer is ferromagnetism with T, above room temperature and show intrinsic characteristic.The magnetization of samples is increased with x(x≤0.12) monotonously.

Single crystalline Zn1-xCoxO thin films grown on sapphire(0001)substrates by using molecular beam epitaxy (MBE)with various Co contents of 0≤x≤0.12 were studied,and their structure,optical and magnetic properties were examined.Optical transmission measurements and ln situ XPSshow that the Co cation is incorporated into the ZnO matrix and there is no secondary phase.Room and low.temperature magnetization measurements show that the Zn1-xCoxO epilayer is ferromagnetism with T, above room temperature and show intrinsic characteristic.The magnetization of samples is increased with x(x≤0.12) monotonously.
Influence of Sputtering Pressure on the Properties of ZnO:Ga Films Prepared by DC Reactive Magnetron Sputtering
Ma Quanbao, Zhu Liping, Ye Zhizhen, He Haiping, Wang Jingrui, Hu Shaohua, Zhao Binghui
Chin. J. Semicond.  2007, 28(S1): 285-288
Abstract PDF

Ga.doped zinc oxide(ZnO:Ga)transparent conductive films with highly (002)-preferred orientations were de. posited on glass substrates bv DC reactive magnetron sputtering.Effects of deposition pressure on the structural,electrical and optical properties of ZnO:Ga films were investigated. The X-ray diffraction (XRD) studies show that the films are highly oriented with their crystaUographic e.axis perpendicular to the substrate almost independent on the deposition pressure.The morphology of the ZnO:Ga films is sensitive to the change of the deposition pressure. The transmittance of the ZnO:Ga thin films is over 90%and the lowest resistivity for ZnO:Ga films is 4.48x 10^-4Ω·cm.

Ga.doped zinc oxide(ZnO:Ga)transparent conductive films with highly (002)-preferred orientations were de. posited on glass substrates bv DC reactive magnetron sputtering.Effects of deposition pressure on the structural,electrical and optical properties of ZnO:Ga films were investigated. The X-ray diffraction (XRD) studies show that the films are highly oriented with their crystaUographic e.axis perpendicular to the substrate almost independent on the deposition pressure.The morphology of the ZnO:Ga films is sensitive to the change of the deposition pressure. The transmittance of the ZnO:Ga thin films is over 90%and the lowest resistivity for ZnO:Ga films is 4.48x 10^-4Ω·cm.
Effect of Different Facets of on the Growth of vapor deposition
Zhang Panfeng, Wei Hongyuan, Fan Haibo, Cong Guangwei, Yang Shaoyan, Zhu Qinsheng, Liu Xianglin
Chin. J. Semicond.  2007, 28(S1): 289-292
Abstract PDF

Zno films were deposited at C-sapphires and r-sapphires using oxygen and methanol as oxidizers。respectively,and were characterized by double crystals X.ray diffraction(DCXRD),scanning electron microscopy(SEM)and atomic force microscopy(AFM). It is confirmed that the r-sapphire’g surface energy is lower than C-sapphire’S,SO r-sapphire is favorable for adatoms diffusing on the surface and the realization of tow.dimensional growth.It iS found that quality of the films grown by methanol is improved greatly compared with the films grown by oxygen. And it may be the result of the reduction of pre. reaction and the effect of methanol as a surfactant. A surface flat ZnO film was deposited on r-sapphire using methanol as oxidizer,and the full width at half maximum (FWHM) of the(10-12) facet unsymmetrical rocking curve is only 0.10º.

Zno films were deposited at C-sapphires and r-sapphires using oxygen and methanol as oxidizers。respectively,and were characterized by double crystals X.ray diffraction(DCXRD),scanning electron microscopy(SEM)and atomic force microscopy(AFM). It is confirmed that the r-sapphire’g surface energy is lower than C-sapphire’S,SO r-sapphire is favorable for adatoms diffusing on the surface and the realization of tow.dimensional growth.It iS found that quality of the films grown by methanol is improved greatly compared with the films grown by oxygen. And it may be the result of the reduction of pre. reaction and the effect of methanol as a surfactant. A surface flat ZnO film was deposited on r-sapphire using methanol as oxidizer,and the full width at half maximum (FWHM) of the(10-12) facet unsymmetrical rocking curve is only 0.10º.
Effect of 900℃ Air Annealing on Luminescence Properties of ZnO Thin Film by L-MBE
Wang Dong, Zhang Jingwen, Han Feng, Zhang Xin'an, Bi Zhen, Bian Xuming, Hou Xun
Chin. J. Semicond.  2007, 28(S1): 293-295
Abstract PDF

High quality preferred(0002)orientation ZnO thin films are grown on c-plane AIz03 substrates by L-MBE.The samples are annealed in the air at 900℃.By comparing X-ray diffraction and photoluminescence spectra of the annealed with unannealed sample,we find that the crystalline quality and luminescence performance of the annealed samples are improved greatly.The thermal photoluminescence spectra indicates that there are three near band emitting(NBE) ultraviolet emitting peaks at 3.352,3.309 and 3.237eV,which are respectively due to free excitonics emitting,a exciton bounded to a neural im- purity of Is and it’s first LO phonon replica.With rising temperature,the location of the luminescence peak shifts to the long-wave(‘red shift’),the full wave at half maximum(FWHM)increases;the luminescence intensity of deep level emitting (DLE) is veryweak

High quality preferred(0002)orientation ZnO thin films are grown on c-plane AIz03 substrates by L-MBE.The samples are annealed in the air at 900℃.By comparing X-ray diffraction and photoluminescence spectra of the annealed with unannealed sample,we find that the crystalline quality and luminescence performance of the annealed samples are improved greatly.The thermal photoluminescence spectra indicates that there are three near band emitting(NBE) ultraviolet emitting peaks at 3.352,3.309 and 3.237eV,which are respectively due to free excitonics emitting,a exciton bounded to a neural im- purity of Is and it’s first LO phonon replica.With rising temperature,the location of the luminescence peak shifts to the long-wave(‘red shift’),the full wave at half maximum(FWHM)increases;the luminescence intensity of deep level emitting (DLE) is veryweak
Fabrication and Magnetic Property of Mn Doped ZnO Nanowires
Chang Yongqin, Yu Dapeng, Long Yi
Chin. J. Semicond.  2007, 28(S1): 296-299
Abstract PDF

Diluted magnetic semiconductor is an ideal system for the research of spintronics.In the present work,high crystal quality Zn1-xMnxO nanowires were synthesized via an in situ doped method. The content of manganese can be controlled by adjusting the growth condition. The XRD,XPS and HRTEM results exhibit that Mn ions indeed doped into ZnO lattices.The magnetic measurements show that the magnetic property of Zn1-xMnxO nanowires relates to the content of Mn.

Diluted magnetic semiconductor is an ideal system for the research of spintronics.In the present work,high crystal quality Zn1-xMnxO nanowires were synthesized via an in situ doped method. The content of manganese can be controlled by adjusting the growth condition. The XRD,XPS and HRTEM results exhibit that Mn ions indeed doped into ZnO lattices.The magnetic measurements show that the magnetic property of Zn1-xMnxO nanowires relates to the content of Mn.
Growth Mode Control of Large Size ZnO Single Crystal Growth Through Chemical Vapor Transport
Zhang Xin'an, Zhang Jingwen, Wang Dong, Bi Zhen
Chin. J. Semicond.  2007, 28(S1): 300-305
Abstract PDF

Sufficient high component supersaturation vapor pressure is obtained by making use of transport agent around 1000"C in the process of ZnO single crystal growth via chemical vapor transport(CVT).This makes strong driving force of the growth realized.Control of nucleation and growth mode is a key factor to obtain large size ZnOsingle crystal. CVT ZnO single crystal surface morphology and growth phenomenon are studied in this paper.Dependence of growth mode on growth temperature,stoichiometry has been demonstrated. Two-dimension nucleation growth mode and large size ZnO single crystal with uniform thickness have been obtained.

Sufficient high component supersaturation vapor pressure is obtained by making use of transport agent around 1000"C in the process of ZnO single crystal growth via chemical vapor transport(CVT).This makes strong driving force of the growth realized.Control of nucleation and growth mode is a key factor to obtain large size ZnOsingle crystal. CVT ZnO single crystal surface morphology and growth phenomenon are studied in this paper.Dependence of growth mode on growth temperature,stoichiometry has been demonstrated. Two-dimension nucleation growth mode and large size ZnO single crystal with uniform thickness have been obtained.
Enhancement Mode Thin Film Transistor with Nitrogen-Doped ZnO Channel Layer Fabricated on SiO2/Si Substrate
Zhang Xin'an, Zhang Jingwen, Wang Dong, Bi Zhen, Bian Xuming, Zhang Weifeng, Hou Xun
Chin. J. Semicond.  2007, 28(S1): 306-308
Abstract PDF

Nitrogen-doped ZnO film is deposited on SiO2/P-Si substrate by L-MBE in the mixed gas of NH3 and O2. XRD measurement shows the film has high crystalline quality and high c-axis preferential orientation even doped with nitrogen. The FWHM of rocking curve of ZnO(0002)plane is only 1.89º. Then,a bottom.gate type thin film transistor with nitrogen doped ZnO as the active channel layer and SiO2 severed as insulator is fabricated.Electrical measurement shows the device opcrates in enhancement mode and exhibits all on/off ratio of 104. The threshold voltage is 5.15V and the channel mobility oil the order of 2.66cm2/(V·s) is determined.

Nitrogen-doped ZnO film is deposited on SiO2/P-Si substrate by L-MBE in the mixed gas of NH3 and O2. XRD measurement shows the film has high crystalline quality and high c-axis preferential orientation even doped with nitrogen. The FWHM of rocking curve of ZnO(0002)plane is only 1.89º. Then,a bottom.gate type thin film transistor with nitrogen doped ZnO as the active channel layer and SiO2 severed as insulator is fabricated.Electrical measurement shows the device opcrates in enhancement mode and exhibits all on/off ratio of 104. The threshold voltage is 5.15V and the channel mobility oil the order of 2.66cm2/(V·s) is determined.
Simulation of the ZnO-MOCVD Horizontal Reactor Geometry
Liu Songmin, Gu Shulin, Zhu Shunming, Ye Jiandong, Liu Wei, Zhang Rong, Zheng Youdou
Chin. J. Semicond.  2007, 28(S1): 309-311
Abstract PDF

A three-dimensional model based on the computational fluid dynamics (CFD) is put forward to study the flow pat. terns in a homemade horizontal MoCVD reactor for ZnO growth.The governing equations are discretized by employing the control.volume.based finite difference method.By solving the conservation equations of the energy,momentum,mass and chemical species,and the effect of the various angles in the gas inlets on the ZnO growth distribution on the substrate is mainly investigated.In addition,the influence of the position of the substrate on the growth rate is also analyzed.Computa. tional results afford a powerful tool for the configuration optimization of the MoCVD reactor.

A three-dimensional model based on the computational fluid dynamics (CFD) is put forward to study the flow pat. terns in a homemade horizontal MoCVD reactor for ZnO growth.The governing equations are discretized by employing the control.volume.based finite difference method.By solving the conservation equations of the energy,momentum,mass and chemical species,and the effect of the various angles in the gas inlets on the ZnO growth distribution on the substrate is mainly investigated.In addition,the influence of the position of the substrate on the growth rate is also analyzed.Computa. tional results afford a powerful tool for the configuration optimization of the MoCVD reactor.
Property of ZnO Varistors Prepared by Fractional Precipitation on Seed Materials
Wang Yuping, Li Shengtao, Zhang Mingcai
Chin. J. Semicond.  2007, 28(S1): 312-315
Abstract PDF

The method of fractional precipitation on seeds is used to manufacture calcined additive powders.The properties of the powders are compared with those prepared by three other methods.It was observed that when the sediments were dried by the“half.dry”method via calcination in the range of 600 to 650℃. The calcined powders of the additives obtained have either no agglomeration or little agglomeration of the particles.The influence of the additive powders on spray dried granules and their forming are also studied.Eventually ZnO varistors with a voltage gradient of 2.6kV/cm and an energy handling ca· pability of 270J/cms are achieved.

The method of fractional precipitation on seeds is used to manufacture calcined additive powders.The properties of the powders are compared with those prepared by three other methods.It was observed that when the sediments were dried by the“half.dry”method via calcination in the range of 600 to 650℃. The calcined powders of the additives obtained have either no agglomeration or little agglomeration of the particles.The influence of the additive powders on spray dried granules and their forming are also studied.Eventually ZnO varistors with a voltage gradient of 2.6kV/cm and an energy handling ca· pability of 270J/cms are achieved.
Synthesis of ZnO Nanorods and Nanorod Arrays Through Hydrothermal Methods
Liu Changyou, Li Huanyong, Jie Wanqi
Chin. J. Semicond.  2007, 28(S1): 316-321
Abstract PDF

The ZnO nanorod clusters and arrays respectively have been prepared via simple hydrothermal method and CTA& assisted hydrothermal method at 180"C by using zinc salts,matel zinc,hydrazine hydrate(N2H‘·H20)and SO on.The microstructures of the as-synthesized samples have been studied by means of X-ray diffraction·scanning electron microscope, field-emission scanning electron microscope and high.resolution transmission electron microscopes.The mean sizes of asgrown nanorods。which possess hexagonal structure,are about 40~80nm in diameter.The formation of ZnO nanorod clusters or arrays and the importance of N2 I-14·H2O are discussed with growth unit model of anion coordination polyhedra.Roomtemperature photoluminescence spectra of as-grown samples show a strong UV emission,as well as various intensity blue· green emissions.

The ZnO nanorod clusters and arrays respectively have been prepared via simple hydrothermal method and CTA& assisted hydrothermal method at 180"C by using zinc salts,matel zinc,hydrazine hydrate(N2H‘·H20)and SO on.The microstructures of the as-synthesized samples have been studied by means of X-ray diffraction·scanning electron microscope, field-emission scanning electron microscope and high.resolution transmission electron microscopes.The mean sizes of asgrown nanorods。which possess hexagonal structure,are about 40~80nm in diameter.The formation of ZnO nanorod clusters or arrays and the importance of N2 I-14·H2O are discussed with growth unit model of anion coordination polyhedra.Roomtemperature photoluminescence spectra of as-grown samples show a strong UV emission,as well as various intensity blue· green emissions.
Preparation and Characterization of p-Type ZnO Using Li··N Dual·-Acceptor Doping Method by Pulsed Laser Deposition
Zhang Yinzhu, Ye Zhizhen, Lü Jianguo, He Haiping, Gu Xiuquan
Chin. J. Semicond.  2007, 28(S1): 322-325
Abstract PDF

p-type ZnOthin films are realized by pulsed laser deposition using a Li-N dual·acceptor doping method.The lowest resistivity is found to be 3.99.Ω·cm with a Hall mobility of 0.17cm2/(V·s)and a hole concentration of 9.12×10^18 cm-3. The emissions associated with Li and N acceptor states are revealed by low temperature photolumineseence spectra,and the aeceptor energy thus determined is~120 and~222meV。respectively.The ZnO-based p-n homojunction(p-ZnO:(Li,N)/nZnO)exhibits a typical rectification behavior.

p-type ZnOthin films are realized by pulsed laser deposition using a Li-N dual·acceptor doping method.The lowest resistivity is found to be 3.99.Ω·cm with a Hall mobility of 0.17cm2/(V·s)and a hole concentration of 9.12×10^18 cm-3. The emissions associated with Li and N acceptor states are revealed by low temperature photolumineseence spectra,and the aeceptor energy thus determined is~120 and~222meV。respectively.The ZnO-based p-n homojunction(p-ZnO:(Li,N)/nZnO)exhibits a typical rectification behavior.
PLD Growth of P-Type ZnMgO Films with Li-Doped
Qiu Mingxia, Ye Zhizhen, He Haiping, Gu Xiuquan, Zhu Liping
Chin. J. Semicond.  2007, 28(S1): 326-328
Abstract PDF

P-type ZnMgO films grown on glass substrates with Li-doped by pulsed laser deposition.The films have good crystallinity with a(0002)preferential orientation.The substrate temperature exert a remarkable influence oil electrical properties and crystal qualities of Li-doped films.Results indicated that Li-doped ZnMgO film possesses a best crystallinity at the substrate temperature of 500℃.The Li.doped p-type ZnMgO have the lowest resistivity of 6.58Ω·cm,and carrier density up to 5.1 x 10^18cm-3 and Hall mobility 0.189cm2/(V·s).In addition,the Li-doped P.type ZnMgO film has a high transmit. tance about 90%in the visible region and a band gap of 3.625eV at room temperature.

P-type ZnMgO films grown on glass substrates with Li-doped by pulsed laser deposition.The films have good crystallinity with a(0002)preferential orientation.The substrate temperature exert a remarkable influence oil electrical properties and crystal qualities of Li-doped films.Results indicated that Li-doped ZnMgO film possesses a best crystallinity at the substrate temperature of 500℃.The Li.doped p-type ZnMgO have the lowest resistivity of 6.58Ω·cm,and carrier density up to 5.1 x 10^18cm-3 and Hall mobility 0.189cm2/(V·s).In addition,the Li-doped P.type ZnMgO film has a high transmit. tance about 90%in the visible region and a band gap of 3.625eV at room temperature.
MgxZn1-xO Nanorod Arrays Synthesized by Pulsed-Laser Deposition
Gu Xiuquan, Zhu Liping, Ye Zhizhen, He Haiping, Zhang Yinzhu, Zhao Binghui
Chin. J. Semicond.  2007, 28(S1): 329-332
Abstract PDF

MgxZn1-xO nanorod arrays were synthesized on Si(100)substrates using a catalyst.free pulsed.1aser deposition (PLD)method for the first time.The results of scanning electron microscopy indicate that the nanorods arrays of about 30~ 50nm in diameter and 60nm in length are grown on a nanocrystaUine ZnO film with a thickness of~70nm. Both the (002) peak in XRD and the near band emission in PL spectra are shifted by a certain extent comparing with that of pure ZnO thin film,indicating that the substitution of Zn sites by Mg atoms changes the crystal lattice constants and band gap of ZnO.The mechanism of the nanorods growth was also discussed.

MgxZn1-xO nanorod arrays were synthesized on Si(100)substrates using a catalyst.free pulsed.1aser deposition (PLD)method for the first time.The results of scanning electron microscopy indicate that the nanorods arrays of about 30~ 50nm in diameter and 60nm in length are grown on a nanocrystaUine ZnO film with a thickness of~70nm. Both the (002) peak in XRD and the near band emission in PL spectra are shifted by a certain extent comparing with that of pure ZnO thin film,indicating that the substitution of Zn sites by Mg atoms changes the crystal lattice constants and band gap of ZnO.The mechanism of the nanorods growth was also discussed.
Monotonous Linearity Varying Stratified Nanocrystalline Silicon Films and as p-i-n Layer in Thin Film Solar Cells
Jin Fei, Zhang Weijia, Jia Shiliang, Ding Zhaochong, Yan Lanqin, Wang Tianmin, Li Guohua
Chin. J. Semicond.  2007, 28(S1): 333-336
Abstract PDF

Phosphorus.doped nanocrystalline silicon films(n+-nc-Si:H),boron-doped nanocrystalline silicon films(P+.nc.Si: H)and intrinsic nanocrystalline silicn films(nc-Si:H)are prepared through plasma enhanced chemical vapor deposition (PECVD),and the monotonous linearity varying stratified nanocrvstalline silicon films are also prepared.The films’struc. ture and surface topography are measured with Raman spectrum,X-ray diffraction(XRD)and ultraviolet.visible.near.infra. red band spectral photometer.The results show that the crystalline composition and the grain size of the nanocrvstanine sili. con films are influenced by the doped chemical element;three diffraction peaks of the crystal plane(111),(220),and (311) are found through the X-ray diffraction of the film surface;the solar cell with the monotonous linearity varying stratified nanocrystalline silicon films were prepared,and the solar cell’S structure iS A1/ITO/n+.nc.Si:H/i-nc.Si:H/p-c.Si/Al/Ag. And its performances are measured,the open-circuit voltage,short·circuit current and the fill factor are improved more large. 1y than the amorphous silicon solar eell ever before.

Phosphorus.doped nanocrystalline silicon films(n+-nc-Si:H),boron-doped nanocrystalline silicon films(P+.nc.Si: H)and intrinsic nanocrystalline silicn films(nc-Si:H)are prepared through plasma enhanced chemical vapor deposition (PECVD),and the monotonous linearity varying stratified nanocrvstalline silicon films are also prepared.The films’struc. ture and surface topography are measured with Raman spectrum,X-ray diffraction(XRD)and ultraviolet.visible.near.infra. red band spectral photometer.The results show that the crystalline composition and the grain size of the nanocrvstanine sili. con films are influenced by the doped chemical element;three diffraction peaks of the crystal plane(111),(220),and (311) are found through the X-ray diffraction of the film surface;the solar cell with the monotonous linearity varying stratified nanocrystalline silicon films were prepared,and the solar cell’S structure iS A1/ITO/n+.nc.Si:H/i-nc.Si:H/p-c.Si/Al/Ag. And its performances are measured,the open-circuit voltage,short·circuit current and the fill factor are improved more large. 1y than the amorphous silicon solar eell ever before.
Electrical and Optical Properties of Cu2 ZnSnS4 Thin Films Prepared for Solar Cell Absorber
Shao Lexi, Fu Yujun, Zhang Jun, He Deyan
Chin. J. Semicond.  2007, 28(S1): 337-340
Abstract PDF

CU2 ZnSnS4(CZTS)thin films were successfully prepared by sulfurization of vacuum evaporating Cu/Sn/ZnS pre. cursors on soda-lime glass SUbstrate.The crystallographic structures of the samples were determined by X.ray diffractometer. The electrical and optical properties were analyzed by Hall measurement and UV.VIS spectrophotometer.The influence of the predicted atomic ratio on electrical and optical properties of CZTS thin films was discussed.The single phase stannite. type structure CZTS thin films possesses the absorption coefficient more than 104 cm-1,band gap energy of about 1.51eV。 lOW electrical resistivity and high mobility。SO this thin film is suitable to the use as the absorber in thin film solar cells.

CU2 ZnSnS4(CZTS)thin films were successfully prepared by sulfurization of vacuum evaporating Cu/Sn/ZnS pre. cursors on soda-lime glass SUbstrate.The crystallographic structures of the samples were determined by X.ray diffractometer. The electrical and optical properties were analyzed by Hall measurement and UV.VIS spectrophotometer.The influence of the predicted atomic ratio on electrical and optical properties of CZTS thin films was discussed.The single phase stannite. type structure CZTS thin films possesses the absorption coefficient more than 104 cm-1,band gap energy of about 1.51eV。 lOW electrical resistivity and high mobility。SO this thin film is suitable to the use as the absorber in thin film solar cells.
Ferromagnetic GaCrN Films Fabricated by Dual-Energy Implantation of Cr+
Jiang Lijuan, Wang Xiaoliang, Liu Chao, Xiao Hongling, Wang Cuimei, Ran Junxue, Hu Guoxin, Li Jianping
Chin. J. Semicond.  2007, 28(S1): 341-344
Abstract PDF

:GaCrN ferromagnetic films with a thickness of 200nm are successfully prepared bv Cr+implantation at two ener. gies into n-GaN,p-GaN,and unintentionally doped GaN epilayers grown on sapphire substrates by MoCVD,followed by a short anneal to remove implantation damage.Powder X.ray diffraction is carried out to investigate the phase composition of the films.Magnetic properties determined from SQUID indicate a connection between the saturation magnetization and the initial carrier concentration of the samples,as in our experiment,post-implanted n.GaN and P.GaN show much stronger mag. netization than that of unintentiOnaUv doped GaN samples.

:GaCrN ferromagnetic films with a thickness of 200nm are successfully prepared bv Cr+implantation at two ener. gies into n-GaN,p-GaN,and unintentionally doped GaN epilayers grown on sapphire substrates by MoCVD,followed by a short anneal to remove implantation damage.Powder X.ray diffraction is carried out to investigate the phase composition of the films.Magnetic properties determined from SQUID indicate a connection between the saturation magnetization and the initial carrier concentration of the samples,as in our experiment,post-implanted n.GaN and P.GaN show much stronger mag. netization than that of unintentiOnaUv doped GaN samples.
Study on the Composition Deviations in CZT Crystal Grown by MVB Method
Wang Tao, Yang Ge, Zeng Dongmei, Xu Yadong, Jie Wanqi
Chin. J. Semicond.  2007, 28(S1): 345-347
Abstract PDF

This paper focuses on the composition deviations in Cd0.96 Zn0.04 Te crystal grown by MVB method and its influ. ences on the crystal properties.The composition distributions were measured by X-ray energy spectrometer.The results showed that the values of(Cd+Zn)/Te are greater than 1 in the initial part of CZT ingot and decreased along the axis, which proves that there are still composition deviations in CZT crystal.The ingot was grown from the Cd-rieh melt in the first-to-freeze region and from the Te-rich melt in the last·to-freeze region.The photoluminescence spectra show that there exists higher Te vacancy in Cd-rich CZT wafers and higher Cd vacancy and related defects in Te-rich CZT wafers.IR trans. mittance measurements indicate that higher deviation from the stoichiometric composition corresponds with lower IR transmittance.

This paper focuses on the composition deviations in Cd0.96 Zn0.04 Te crystal grown by MVB method and its influ. ences on the crystal properties.The composition distributions were measured by X-ray energy spectrometer.The results showed that the values of(Cd+Zn)/Te are greater than 1 in the initial part of CZT ingot and decreased along the axis, which proves that there are still composition deviations in CZT crystal.The ingot was grown from the Cd-rieh melt in the first-to-freeze region and from the Te-rich melt in the last·to-freeze region.The photoluminescence spectra show that there exists higher Te vacancy in Cd-rich CZT wafers and higher Cd vacancy and related defects in Te-rich CZT wafers.IR trans. mittance measurements indicate that higher deviation from the stoichiometric composition corresponds with lower IR transmittance.
Influence of Deposition Parameters on Diamond Grain Size in DC Arc Plasma Jet CVD
Chen Guangchao, Lan Hao, Li Bin, Dai Fengwei, Askari J, Hei Lifu, Song Jianhua, Li Chengming, Tang Weizhong, Tong Yumei, Lü Fanxiu
Chin. J. Semicond.  2007, 28(S1): 348-351
Abstract PDF

Deposition parameters,like deposition distribution,chamber pressure,and ratio of CH‘/H2,are studied to influence grain size of diamond by DC Arc Plasma Jet CVD.It is found that increase of grain size will occur under the condition of decrease of deposition temperature and increase of chamber pressure. The largest grain size is found over 1mm.Crystalline grain with facets occurs at 20%of CI-h/Hz.

Deposition parameters,like deposition distribution,chamber pressure,and ratio of CH‘/H2,are studied to influence grain size of diamond by DC Arc Plasma Jet CVD.It is found that increase of grain size will occur under the condition of decrease of deposition temperature and increase of chamber pressure. The largest grain size is found over 1mm.Crystalline grain with facets occurs at 20%of CI-h/Hz.
Synthesis and Properties of bis(2-Hydroxy-3-Methoxy Benzaldehyde) Schiff Base and Its Zinc (Ⅱ) Complex
Chen Liuqing, Xu Huixia, Wang Hua, Hou Lixin, Ma Chen, Liu Xuguang, Xu Bingshe
Chin. J. Semicond.  2007, 28(S1): 352-356
Abstract PDF

Schiff base 1,2-cyclohexyldiamino-N,N’-his(2-hydroxy一3.methoxvbenzaldehvde)(1)and its zinc complex(2) were synthesized.The structure of the ligand and its compound(2)was characterized bv IR and elemental analysis tech. niques.The UV-vis absorption and photoluminescence spectra of the compound(2)indicate that it iS a blue emitter under UV radiation while the effective energy.transfer from the ligand to the eentral Zn”ion occurs in the complex.The electro. chemical band gap is approximatively consistent with optical band gap estimated by UV-vis absorption spectral edge.The resuits indicated the complex(2)could emit intensive blue fluorescence with peak wavelength at 460nm and bandwidth of 72. 2nm under UV excitation at 365nm.The complex(2)of bright blue photoluminescence might be applied as luminescent in organic electroluminescence devices(oLEDs).

Schiff base 1,2-cyclohexyldiamino-N,N’-his(2-hydroxy一3.methoxvbenzaldehvde)(1)and its zinc complex(2) were synthesized.The structure of the ligand and its compound(2)was characterized bv IR and elemental analysis tech. niques.The UV-vis absorption and photoluminescence spectra of the compound(2)indicate that it iS a blue emitter under UV radiation while the effective energy.transfer from the ligand to the eentral Zn”ion occurs in the complex.The electro. chemical band gap is approximatively consistent with optical band gap estimated by UV-vis absorption spectral edge.The resuits indicated the complex(2)could emit intensive blue fluorescence with peak wavelength at 460nm and bandwidth of 72. 2nm under UV excitation at 365nm.The complex(2)of bright blue photoluminescence might be applied as luminescent in organic electroluminescence devices(oLEDs).
Fabrication of Three-Dimensional Photonic Crystals with Diamond Structure by SLA Technique
Wen Jing, Yin Haiqing, Zhang Ruijuan, Cao Wenbin
Chin. J. Semicond.  2007, 28(S1): 357-359
Abstract PDF

It is difficult to fabricate three-dimensional(3D)photonic crystals.In this paper,the 3D photonic structure with diamond structure was fabricated by stereo lithography apparatus(SLA)as this technique can fabricate 3D structures no mat- ter how complicated they are.The lattice constant of the structure was optimized as 14.OOmm.The theoretic photonic bandgap Was ranged between 12.3GHz and 13.7GHz.Computer model of the crystal Was designed.The photonic crystal was prepared bv SLA.The transmission of microwave from 10 to 20GHz was measured indirection.A band gap is formed at the range of 12.3GHz to 13.4GHz.The magnitude of the maximum attenuation is as large as -26dB at 12.7GHz.which indicates that the fabricated structure works well as a photonic crystal.

It is difficult to fabricate three-dimensional(3D)photonic crystals.In this paper,the 3D photonic structure with diamond structure was fabricated by stereo lithography apparatus(SLA)as this technique can fabricate 3D structures no mat- ter how complicated they are.The lattice constant of the structure was optimized as 14.OOmm.The theoretic photonic bandgap Was ranged between 12.3GHz and 13.7GHz.Computer model of the crystal Was designed.The photonic crystal was prepared bv SLA.The transmission of microwave from 10 to 20GHz was measured indirection.A band gap is formed at the range of 12.3GHz to 13.4GHz.The magnitude of the maximum attenuation is as large as -26dB at 12.7GHz.which indicates that the fabricated structure works well as a photonic crystal.
Design and Fabrication of Photonic Crystal Slab Operating at Band Edge
Tang Haixia, Wang Qiming
Chin. J. Semicond.  2007, 28(S1): 360-363
Abstract PDF

The anomalous group velocity at band edge of photonic crystal can bc used to enhance some optical process,which has applications in optoelectronics field.In this paper,SOI material was taken for example,the structure parameters of photonic crystal operating at band edge were designed by 3D PWE method and the triangular lattice air-hole silicon photonic crystal was fabricated by the combination of EBL and ICP etching.The position of band edge was obtained by the measurement of transmission characteristics,there is a difference between the measured band edge and designed band edge,which is mainly due to the larger size of air holes than the designed value.The photonic crystal whose band edge lying at 1548nm was obtained by fabricating a series of photonic crystal structures with the same lattice constant and different air hole radius,this wavelength is basically in accord with the designed 1550nm.

The anomalous group velocity at band edge of photonic crystal can bc used to enhance some optical process,which has applications in optoelectronics field.In this paper,SOI material was taken for example,the structure parameters of photonic crystal operating at band edge were designed by 3D PWE method and the triangular lattice air-hole silicon photonic crystal was fabricated by the combination of EBL and ICP etching.The position of band edge was obtained by the measurement of transmission characteristics,there is a difference between the measured band edge and designed band edge,which is mainly due to the larger size of air holes than the designed value.The photonic crystal whose band edge lying at 1548nm was obtained by fabricating a series of photonic crystal structures with the same lattice constant and different air hole radius,this wavelength is basically in accord with the designed 1550nm.
Hybrid Organic/Inorganic Bulk Heteroj unction Solar Cells
Liu Junpeng, Qu Shengchun, Zeng Xiangbo, Xu Ying, Chen Yonghai, Wang Zhijie, Zhou Huiying, Wang Zhanguo
Chin. J. Semicond.  2007, 28(S1): 364-368
Abstract PDF

Wurtzite.type ZnO nanocrystals are synthesized by simple technology in low temperature and are characterized bv HRTEM,XRD and PL technology.Hybrid organic/inorganic bulk heteroiunction solar cells with a structure of IT0/PE. DOT:PSS/ZnO:MDMO-PPV/AI are fabricated utilizing ZnO nanocrystals and polyl 2-methoxy一5.(3’,7’.dimethyloctyloxy)- 1,4.phenylenevinylene l(MDMO.PPV).As a comparison,pure organic solar ceils with a structure of ITO/PEDOT:PSS/MD. MO.PPV/AI are fabricated.The^V curves show that the energy conversion efficiency can be improved about 550 times by addition of ZnO nanocrystals.PL experimental results indicate that the reason might be higher possibility of charges separation by addition of ZnO nanocrystals with high electron affinity.Another possible reason which caused higher photovoltaic (PV)performance is higher electron mobilities in ZnO-polymer PV devices compared with pure organic solar ceils.In addi. tion,the reasons of lower photovoltaic performance of the kinds of solar cells than conventional solar eells are analyzed。and possible solutions are proposed.

Wurtzite.type ZnO nanocrystals are synthesized by simple technology in low temperature and are characterized bv HRTEM,XRD and PL technology.Hybrid organic/inorganic bulk heteroiunction solar cells with a structure of IT0/PE. DOT:PSS/ZnO:MDMO-PPV/AI are fabricated utilizing ZnO nanocrystals and polyl 2-methoxy一5.(3’,7’.dimethyloctyloxy)- 1,4.phenylenevinylene l(MDMO.PPV).As a comparison,pure organic solar ceils with a structure of ITO/PEDOT:PSS/MD. MO.PPV/AI are fabricated.The^V curves show that the energy conversion efficiency can be improved about 550 times by addition of ZnO nanocrystals.PL experimental results indicate that the reason might be higher possibility of charges separation by addition of ZnO nanocrystals with high electron affinity.Another possible reason which caused higher photovoltaic (PV)performance is higher electron mobilities in ZnO-polymer PV devices compared with pure organic solar ceils.In addi. tion,the reasons of lower photovoltaic performance of the kinds of solar cells than conventional solar eells are analyzed。and possible solutions are proposed.
Current_Voltage Characteristics of n-SiOxNy/n-Si Heterojunction Diode Grown on Silicon
Xu Mingzhen, Tan Changhua
Chin. J. Semicond.  2007, 28(S1): 369-371
Abstract PDF

n-SiOx Ny is an n·type wide-gap(Eg= 9eV)semiconductor material with double donor doping which was formed by using voltage and/or temperature stressing on insulation SiOxNy thin film and the insulator becomes semi-conductor when donor-like defect density reaches to 1.26 x 10^20 cm-3 and double donor-like energy levels coexist in n·SiOxNy. The currentvoltage characteristics can be described satisfactorily in terms of Fowler-Nordheim(F·N)tunneling current mechanism over the voltage range of much greater than 1V,and the barrier height decreases with increasing doping concentration.

n-SiOx Ny is an n·type wide-gap(Eg= 9eV)semiconductor material with double donor doping which was formed by using voltage and/or temperature stressing on insulation SiOxNy thin film and the insulator becomes semi-conductor when donor-like defect density reaches to 1.26 x 10^20 cm-3 and double donor-like energy levels coexist in n·SiOxNy. The currentvoltage characteristics can be described satisfactorily in terms of Fowler-Nordheim(F·N)tunneling current mechanism over the voltage range of much greater than 1V,and the barrier height decreases with increasing doping concentration.
Current-Voltage Characteristic of Alloyed Ni/Au on p-GaN
Wang Yanjie, Yang Ziwen, Liao Hui, Hu Chengyu, Pan Yaobo, Yang Zhijian, Zhang Bei, Zhang Guoyi, Hu Xiaodong
Chin. J. Semicond.  2007, 28(S1): 372-375
Abstract PDF

We obtain and analyze specific contact resistivity and current-voltage curve of alloyed Ni/Au on p-GaN by transmission line model(TLM)measurement.bV(current density-voltage)CUrVe between the alloyed Ni/Au and p-GaN is deduced from the I-V curve·Based on Thermionic Emission Mechanism and Image Force Theory,we get effective barrier height = 0.41eV,acceptor concentration Na=4 x10^19cm-3,band bending Vi=0.26V,and Ef-Ev=0.15eV,which agree well with the theoretical values and other experimental results.

We obtain and analyze specific contact resistivity and current-voltage curve of alloyed Ni/Au on p-GaN by transmission line model(TLM)measurement.bV(current density-voltage)CUrVe between the alloyed Ni/Au and p-GaN is deduced from the I-V curve·Based on Thermionic Emission Mechanism and Image Force Theory,we get effective barrier height = 0.41eV,acceptor concentration Na=4 x10^19cm-3,band bending Vi=0.26V,and Ef-Ev=0.15eV,which agree well with the theoretical values and other experimental results.
High Temperature Performance of GaN and AIxGal-xN/GaN Heterostructures
Wang Maojun, Shen Bo, Wang Yan, Huang Sen, Xu Fujun, Xu Jian, Yang Zhijian, Zhang Guoyi
Chin. J. Semicond.  2007, 28(S1): 376-378
Abstract PDF

Temperature dependence of the transport characteristics of GaN and Al0.18 Ga0.82 N/GaN heterostructures are investigated by means of high temperature Hall measurements from room temperature to 500℃.The increment of electron concentration in GaN layer is found to be direct proportion to the density of dislocations in GaN layer.It is found that the 2DEG density decreases with increasing temperature from room temperature to 250℃.and then changes to increase with in· creasing temperature at higher temperatures.It is thought that the decrease of the 2DEG density from room temperature to 250℃is caused by the reduction of the conduction band offset at high temperatures.The result is consistent with the theoretical calculation.

Temperature dependence of the transport characteristics of GaN and Al0.18 Ga0.82 N/GaN heterostructures are investigated by means of high temperature Hall measurements from room temperature to 500℃.The increment of electron concentration in GaN layer is found to be direct proportion to the density of dislocations in GaN layer.It is found that the 2DEG density decreases with increasing temperature from room temperature to 250℃.and then changes to increase with in· creasing temperature at higher temperatures.It is thought that the decrease of the 2DEG density from room temperature to 250℃is caused by the reduction of the conduction band offset at high temperatures.The result is consistent with the theoretical calculation.
Epitaxial Growth of 4H-SiC MESFET Structures
Li Zheyang, Dong Xun, Bai Song, Chen Gang, Chen Tangsheng, Chen Chen
Chin. J. Semicond.  2007, 28(S1): 379-381
Abstract PDF

Metalsemiconductor field effect transistor(MESFET)structures have been grown in a hot-walI CVD reactor. Using trimethylaluminium (TMA) and nitrogen,p-and n-type epitaxial layers were grown on n+substrates and semi insulating substrates.Thickness of epitaxial layer has been characterized using scanning electron microscopy,and doping concentration has been determined by using secondary ion mass spectrometry and Hg probe capacitance-voltage technique.Optimization of growth parameters has resulted in very abrupt doping profiles.The grown MESFET structures have been processed and parts of the transistor properties are presented.

Metalsemiconductor field effect transistor(MESFET)structures have been grown in a hot-walI CVD reactor. Using trimethylaluminium (TMA) and nitrogen,p-and n-type epitaxial layers were grown on n+substrates and semi insulating substrates.Thickness of epitaxial layer has been characterized using scanning electron microscopy,and doping concentration has been determined by using secondary ion mass spectrometry and Hg probe capacitance-voltage technique.Optimization of growth parameters has resulted in very abrupt doping profiles.The grown MESFET structures have been processed and parts of the transistor properties are presented.
Recessed-Gate AIGaN/GaN HEMTs with Field-Modulating Plate
Chen Tangsheng, Wang Xiaoliang, Jiao Gang, Zhong Shichang, Ren Chunjiang, Chen Chen, Li Fuxiao
Chin. J. Semicond.  2007, 28(S1): 398-401
Abstract PDF

AIGaN/GaN HEMTs Oil SiC substrate have been fabricated.Recessed·gate and field-modulating plate configuration have been used to suppress the current collapse and to improve breakdown voltage and power performance of the devices. The developed 1-mm gate width device exhibits a saturated output power of 9.05W with a power gain of 7.5dB and a power-added efficiency (PAE) of 46% at 8GHz and 34V drain bias.

AIGaN/GaN HEMTs Oil SiC substrate have been fabricated.Recessed·gate and field-modulating plate configuration have been used to suppress the current collapse and to improve breakdown voltage and power performance of the devices. The developed 1-mm gate width device exhibits a saturated output power of 9.05W with a power gain of 7.5dB and a power-added efficiency (PAE) of 46% at 8GHz and 34V drain bias.
High Quality AIGaN/GaN HEMT Materials Grown on SiC Substrates
Wang Xiaoliang, Wang Cuimei, Hu Guoxin, Ma Zhiyong, Xiao Hongling, Ran Junxue, Luo Weijun, Tang Jian, Li Jianping, Li Jinmin, Wang Zhanguo
Chin. J. Semicond.  2007, 28(S1): 402-406
Abstract PDF

High quality A1GaN/GaN HEMT materials were successfully fabricated on 4H.and 6H.SiC substrates bv MoCVD method.High electron mobility and density of 2215cm2/(V·s) and 1.044×10^13cm-2 were obtained at room temperature. Average sheet resistance lower than 253.7n/口 and resistance uniformity better than 2.02% were also realized on the 2-inch HEMT wafers.TCXRD and AFM measurements showed that the HEMT material has a high crystal quality and smooth sur. face morphology. Power devices with gate width of lmm were fabricated using the HEMT wafers.At 8GHz,the output power density is 8.25W/mm and the associated PAE is 39.4%. The output power was reduced only 0.1dBm after half an hour, sug gesting the device has a comparatively high reliability.

High quality A1GaN/GaN HEMT materials were successfully fabricated on 4H.and 6H.SiC substrates bv MoCVD method.High electron mobility and density of 2215cm2/(V·s) and 1.044×10^13cm-2 were obtained at room temperature. Average sheet resistance lower than 253.7n/口 and resistance uniformity better than 2.02% were also realized on the 2-inch HEMT wafers.TCXRD and AFM measurements showed that the HEMT material has a high crystal quality and smooth sur. face morphology. Power devices with gate width of lmm were fabricated using the HEMT wafers.At 8GHz,the output power density is 8.25W/mm and the associated PAE is 39.4%. The output power was reduced only 0.1dBm after half an hour, sug gesting the device has a comparatively high reliability.
Characteristic of the Recessed-Gate AIGaN/GaN HEMT with a Field Plate
Chen Chen, Chen Tangsheng, Ren Chunjiang, Xue Fangshi
Chin. J. Semicond.  2007, 28(S1): 407-410
Abstract PDF

Three kinds of AIGaN/GaN HEMTs have been fabricated:(a) non·recessed HEMT without FP HEMT (b) non· recessed FP HEMT,and(c)recessed FP HEMT.Thought contrast the dynamic I-V and microwave measurement to the three devices,we find that the field plate and gate recess can modulate the field in the channel,then suppress the current collapse and enhanced break-down voltage and improve the output power.A lmm gate width device with this structure exhibited a saturated output power of more than 10W.

Three kinds of AIGaN/GaN HEMTs have been fabricated:(a) non·recessed HEMT without FP HEMT (b) non· recessed FP HEMT,and(c)recessed FP HEMT.Thought contrast the dynamic I-V and microwave measurement to the three devices,we find that the field plate and gate recess can modulate the field in the channel,then suppress the current collapse and enhanced break-down voltage and improve the output power.A lmm gate width device with this structure exhibited a saturated output power of more than 10W.
Extraction of the Small-Signal Equivalent Circuit Parameters of the AIGaN/GaN HEMT Device
Liu Dan, Chen Xiaojuan, Luo Weijun, Li Chengzhan, Lin Xinyu, He Zhijing
Chin. J. Semicond.  2007, 28(S1): 411-413
Abstract PDF

This paper USes ColdFET and broadband small-signal extracting methods to extract the AIGaN/GaN HEMT smallsignal parameters. And it USeS analog software(ADS)to build a small-signal equivalent circuit model and optimize the values of the parameters.It can be quick to extract the parameters and gives the device process some information and advices.

This paper USes ColdFET and broadband small-signal extracting methods to extract the AIGaN/GaN HEMT smallsignal parameters. And it USeS analog software(ADS)to build a small-signal equivalent circuit model and optimize the values of the parameters.It can be quick to extract the parameters and gives the device process some information and advices.
Monolithic Integration of Resonant Tunneling Diodes and High Electron Mobility Transistors on InP Substrates
Ma Long, Zhang Yang, Dai Yang, Yang Fuhua, Zeng Yiping, Wang Liangchen
Chin. J. Semicond.  2007, 28(S1): 414-417
Abstract PDF

The integrated structures of InGaAs/AIAs resonant tunneling diode(RTD)and InGaAs/InatAs high electron mobility transistor(HEMT) are epitaxially grown by molecular beam epitaxy on semi.insulating InP SUbstrates.The maxi. mum peak·to-valley current ratio(PVCR) of the fabricated RTD is 18.39 at room temperature and the resistive cutoff fre. quency is larger than 20.05GHz.The cutoff frequency and the maximum transconductance for the lmm gate length HEMT are 19.8GHz and 237mS/mm,respectively.The multiple value logic which consists of multiple RTDs connected in series。and the characteristics that HEMT and RTD are in parallel connection are also demonstrated.

The integrated structures of InGaAs/AIAs resonant tunneling diode(RTD)and InGaAs/InatAs high electron mobility transistor(HEMT) are epitaxially grown by molecular beam epitaxy on semi.insulating InP SUbstrates.The maxi. mum peak·to-valley current ratio(PVCR) of the fabricated RTD is 18.39 at room temperature and the resistive cutoff fre. quency is larger than 20.05GHz.The cutoff frequency and the maximum transconductance for the lmm gate length HEMT are 19.8GHz and 237mS/mm,respectively.The multiple value logic which consists of multiple RTDs connected in series。and the characteristics that HEMT and RTD are in parallel connection are also demonstrated.
Location and Active Energy for Trap in AIGaN/GaN HFET
Xue Fangshi
Chin. J. Semicond.  2007, 28(S1): 418-421
Abstract PDF

The fundamental state,excited state,and two.dimensional surface state in A1GaN/GaN HFET are investigated through self-consistent solution of Schrbdinger equation and Poisson equation,from which the trap location and active energy are determined.It is found that the barrier width is thinned by the surface defects,which enhances the hot electron tunneling between channel and surface tremendously. From new trap model constituted of emission from surface defect and hot electron tunneling,the transient current and G.R noise in AlGaN/GaN HFET are explained satisfactorily.At last a new way to alleviate traps and improve HFET performance through the changing of material growth and technological process is discussed.

The fundamental state,excited state,and two.dimensional surface state in A1GaN/GaN HFET are investigated through self-consistent solution of Schrbdinger equation and Poisson equation,from which the trap location and active energy are determined.It is found that the barrier width is thinned by the surface defects,which enhances the hot electron tunneling between channel and surface tremendously. From new trap model constituted of emission from surface defect and hot electron tunneling,the transient current and G.R noise in AlGaN/GaN HFET are explained satisfactorily.At last a new way to alleviate traps and improve HFET performance through the changing of material growth and technological process is discussed.
Threshold Voltage of AIGaN/GaN HFET
Lin Zhaojun, Zhao Jianzhi, Zhang Min
Chin. J. Semicond.  2007, 28(S1): 422-425
Abstract PDF

The threshold voltage of A1GaN/GaN heterostructure field effect transistor (A1GaN/GaN HFET) has been deter. mined by the capacitance·voltage(C-V)characteristics of Schottky gate contacts。The measured and calculated current.volt. age(I-V)characteristics for A1GaN/GaN HFET show that the threshold voltage for A1GaN/GaN HFET can be gotten by the maximum point of the differential C-V characteristics.

The threshold voltage of A1GaN/GaN heterostructure field effect transistor (A1GaN/GaN HFET) has been deter. mined by the capacitance·voltage(C-V)characteristics of Schottky gate contacts。The measured and calculated current.volt. age(I-V)characteristics for A1GaN/GaN HFET show that the threshold voltage for A1GaN/GaN HFET can be gotten by the maximum point of the differential C-V characteristics.
DC Performance of InGaP/GaAs HBT with Two Different Structures。
Lin Ling, Xu Anhuai, Sun Xiaowei, Qi Ming
Chin. J. Semicond.  2007, 28(S1): 426-429
Abstract PDF

:InGaP/GaAs HBT is the most promising device in microwave and millimeter wave fields .It's DC characteristic is one of the most important parameters.In this work,the Medici program was used to simulate the DC and high frequency per· formances of two different InGaP/GaAs HBT epitaxial structures.The large size(emitter area is l00um x 100um) doublemesa InGaP/GaAs HBTs were fabricated,and their DC performance was tested and analyzed experimentally.It was shown that the common emitter current gains by the test for these two structures were 50 and 350 respectively and their maximum fT bv the simulation were 8 and 10GHz respectively.

:InGaP/GaAs HBT is the most promising device in microwave and millimeter wave fields .It's DC characteristic is one of the most important parameters.In this work,the Medici program was used to simulate the DC and high frequency per· formances of two different InGaP/GaAs HBT epitaxial structures.The large size(emitter area is l00um x 100um) doublemesa InGaP/GaAs HBTs were fabricated,and their DC performance was tested and analyzed experimentally.It was shown that the common emitter current gains by the test for these two structures were 50 and 350 respectively and their maximum fT bv the simulation were 8 and 10GHz respectively.
Irradiation Effects on DC Current Gain of SiGe HBT
Meng Xiangti, Wang Jilin, Huang Qiang, Jia Hongyong, Chen Peiyi, Qian Peixin
Chin. J. Semicond.  2007, 28(S1): 430-434
Abstract PDF

The change of DC gains(p of SiGe HBT irradiated at different electrons and 7-ray doses is studied in a comparison with those of Si BIT.Generally,the radiation-damage factor of d(β) for SiGe HBT is negative in Vbe≤0.5V at high- er dose,and it is smaller in Vbe≥0.5V than that for Si BIT.SiGe HBT has much better anti-radiation performance.Some e- lectron traps is measured.The mechanism of radiation-induced change is discussed.

The change of DC gains(p of SiGe HBT irradiated at different electrons and 7-ray doses is studied in a comparison with those of Si BIT.Generally,the radiation-damage factor of d(β) for SiGe HBT is negative in Vbe≤0.5V at high- er dose,and it is smaller in Vbe≥0.5V than that for Si BIT.SiGe HBT has much better anti-radiation performance.Some e- lectron traps is measured.The mechanism of radiation-induced change is discussed.
Analysis and Optimization of Power Si1-x Gex/Si Heteroj unction Bipolar Transistor for Wireless Local Area Network Applications
Xue Chunlai, Shi Wenhua, Cheng Buwen, Yao Fei, Wang Qiming
Chin. J. Semicond.  2007, 28(S1): 435-438
Abstract PDF

The significance of the epitaxial layer structure in designing multi-finger structure power SiGe HBT for 5GHz power amplification was discussed in detail.In particular,the emitter doping concentration and thickness,the germanium con- centration,and collector doping levels were investigated.The results and analyses show that the device can achieve significant power performance at 5GHz,perfect frequency character when the heterostructure and the device layout were well designed. In the mean time,some guidelines or“design rules”were summarized by the results of this study.

The significance of the epitaxial layer structure in designing multi-finger structure power SiGe HBT for 5GHz power amplification was discussed in detail.In particular,the emitter doping concentration and thickness,the germanium con- centration,and collector doping levels were investigated.The results and analyses show that the device can achieve significant power performance at 5GHz,perfect frequency character when the heterostructure and the device layout were well designed. In the mean time,some guidelines or“design rules”were summarized by the results of this study.
Non-Uniform Emitter Ballasting Resistor Design of Multi-Finger Power HBTs with a Thermal-Electrical Model
Jin Dongyue, Zhang Wanrong, Xie Hongyun, Wang Yang, Qiu Jianjun
Chin. J. Semicond.  2007, 28(S1): 439-442
Abstract PDF

Taking into account of the temperature dependenee of base-emitter voltage and the additional ballasting resistor in emitter.an improved three.dimensional thermal.electrical model is presented to study the temperature distribution in power heteroiunction bipolar transistor(HBT).It is found that multi-finger HBT with uniform emitter ballasting resistor exhibits a higher temperature at the center of the device,which reduces the power.handing capability of HBT.Therefore,non-uniform emitter ballasting resistor design of multi-finger power HBT is presented to improve the power-handing capability of HBT. Taking 12-finger Si0.8 Ge0.2 HBT for example,the design procedure of non-uniform emitter ballasting resistor is described in detail.Compared with the uniform design,the center finger temperature reduces obviously and the device temperature distri- bution is uniform with the design of non.uniform emitter ballasting resistor under the condition that the total emitter ballast- ing resistance is the same. Furthermore,it is found that the temperature distribution is more uniform with the exponential variation of emitter ballasting resistance. As a result, the power-handing capability of HBT is improved obviously。which is beneficial to the design of power HBT.

Taking into account of the temperature dependenee of base-emitter voltage and the additional ballasting resistor in emitter.an improved three.dimensional thermal.electrical model is presented to study the temperature distribution in power heteroiunction bipolar transistor(HBT).It is found that multi-finger HBT with uniform emitter ballasting resistor exhibits a higher temperature at the center of the device,which reduces the power.handing capability of HBT.Therefore,non-uniform emitter ballasting resistor design of multi-finger power HBT is presented to improve the power-handing capability of HBT. Taking 12-finger Si0.8 Ge0.2 HBT for example,the design procedure of non-uniform emitter ballasting resistor is described in detail.Compared with the uniform design,the center finger temperature reduces obviously and the device temperature distri- bution is uniform with the design of non.uniform emitter ballasting resistor under the condition that the total emitter ballast- ing resistance is the same. Furthermore,it is found that the temperature distribution is more uniform with the exponential variation of emitter ballasting resistance. As a result, the power-handing capability of HBT is improved obviously。which is beneficial to the design of power HBT.
Agilent HBT Model Parameters Extraction Procedure For InP HBT’
He Jia, Sun Lingling, Liu Jun
Chin. J. Semicond.  2007, 28(S1): 443-447
Abstract PDF

This paper introduces the methodology to extract the parameters of Agilent HBT large signal model,which succee· ded to and ireproved the traditional extract methodology of Gummel.Pooh and VBIC models,and the extract technique about distributed capacitance,intrinsic resistances and transit time parameters.At last,we measured and simulated the single·finger InP HBT device。extracted the parameters of Agilent HBT model.The experimentation results verify that,this model has a high precision for InP HBTs’DC characterizations,and within the frequency range from 50MHz to 25GHz,it is also suitable for AC small-signal characterizations’representation.

This paper introduces the methodology to extract the parameters of Agilent HBT large signal model,which succee· ded to and ireproved the traditional extract methodology of Gummel.Pooh and VBIC models,and the extract technique about distributed capacitance,intrinsic resistances and transit time parameters.At last,we measured and simulated the single·finger InP HBT device。extracted the parameters of Agilent HBT model.The experimentation results verify that,this model has a high precision for InP HBTs’DC characterizations,and within the frequency range from 50MHz to 25GHz,it is also suitable for AC small-signal characterizations’representation.
A Novel Method to Determine the Failure Rate Using Process-Stress Accelerated Test
Guo Chunsheng, Li Xiuyu, Zhu Chunjie, Ma Weidong, Lü Changzhi, Li Zhiguo
Chin. J. Semicond.  2007, 28(S1): 448-451
Abstract PDF

A method is presented that enables rapid determination of failure rate and lifetime distribution for semiconductor devices based on the studv of process-stress accelerated life test.Process.stress accelerated test is applied to determine the faillife rate in the method,and the lifetime distribution and failure rate can be determined based on evaluation of the lifetime.To demonstrate the application of the method,it has been applied to a kind of matte products,3DGl30+A process-stress accelerated test was constructed in the ternperature range of 160~3l0℃.Then the related reliable parameters,such as lifetime and failure rate were figured out utilizing the model.Experimental results are in agreement with that in the literature,proving that the method is effective.

A method is presented that enables rapid determination of failure rate and lifetime distribution for semiconductor devices based on the studv of process-stress accelerated life test.Process.stress accelerated test is applied to determine the faillife rate in the method,and the lifetime distribution and failure rate can be determined based on evaluation of the lifetime.To demonstrate the application of the method,it has been applied to a kind of matte products,3DGl30+A process-stress accelerated test was constructed in the ternperature range of 160~3l0℃.Then the related reliable parameters,such as lifetime and failure rate were figured out utilizing the model.Experimental results are in agreement with that in the literature,proving that the method is effective.
Reservoir Effect in Multi-Layer Metal System
Guo Chunsheng, Li Xiuyu, Li Zhiguo, Wu Yuehua
Chin. J. Semicond.  2007, 28(S1): 452-456
Abstract PDF

Metal iron reservoirs in multi·layer metal system with W via have great effects on the electro-migration lifetime. In this study,the sample with different reservoir structure was designed for the electro-migration test.The effect of reservoir area,via displace,amount and size on electro-migration lifetime was concluded·and reservoir area play a significant role a- mong all factors.

Metal iron reservoirs in multi·layer metal system with W via have great effects on the electro-migration lifetime. In this study,the sample with different reservoir structure was designed for the electro-migration test.The effect of reservoir area,via displace,amount and size on electro-migration lifetime was concluded·and reservoir area play a significant role a- mong all factors.
Electroluminescence Spectra of the Near-Infrared InP-Based QuantumWire Lasers
Yang Xinrong, Xu Bo, Wang Zhanguo, Ren Yunyun, Jiao Yuheng, Liang Lingyan, Tang Chenguang
Chin. J. Semicond.  2007, 28(S1): 457-459
Abstract PDF

A near.infrared quantum.wire laser on InP(001)is fabricated.The electroluminescence(EL)spectra under vari· otis currents are measured,which are different from that induced by the filling effect of the energy band.Under relative low current,the EL peak is positioned at a higher energy.Then as the injection current is increased,the high energy peak gradually becomes weak and finally disappears. At the same time,a low energy peak presents,its intensity increases, and it lases up to the threshold current.We deduce that the unique EL spectra with different currents is probably caused by the inhomoge- neous distribution of self-assembled quantum nanostructure size.

A near.infrared quantum.wire laser on InP(001)is fabricated.The electroluminescence(EL)spectra under vari· otis currents are measured,which are different from that induced by the filling effect of the energy band.Under relative low current,the EL peak is positioned at a higher energy.Then as the injection current is increased,the high energy peak gradually becomes weak and finally disappears. At the same time,a low energy peak presents,its intensity increases, and it lases up to the threshold current.We deduce that the unique EL spectra with different currents is probably caused by the inhomoge- neous distribution of self-assembled quantum nanostructure size.
Dynamic Analysis of Charges in Organic Light-Emitting Device
Wang Hua, Hao Yuying, Chen Liuqing, Zhou Hefeng, Liu Xuguang, Xu Bingshe
Chin. J. Semicond.  2007, 28(S1): 460-463
Abstract PDF

For nearly 20 years’development,application research on organic light·emitting device(OLED)has gained great improvement.However,theoretical research on OLED is still weak,a great deal of such problems as lighting mechanism of oLED need to be solved.In this article,the author researched and discussed the lighting mechanism of the OLED by dynamic analysis of electrons and holes in OLED. Finally,it can be drawn conclusions that charge transport performance of organic electroluminescent materials would influence charges distribution and position of charges recombination in band gap of lightemitting layer,and working process of OLED is mainly composed of filling charge traps and lighting by charge recombination;

For nearly 20 years’development,application research on organic light·emitting device(OLED)has gained great improvement.However,theoretical research on OLED is still weak,a great deal of such problems as lighting mechanism of oLED need to be solved.In this article,the author researched and discussed the lighting mechanism of the OLED by dynamic analysis of electrons and holes in OLED. Finally,it can be drawn conclusions that charge transport performance of organic electroluminescent materials would influence charges distribution and position of charges recombination in band gap of lightemitting layer,and working process of OLED is mainly composed of filling charge traps and lighting by charge recombination;
Enhancement of Light Extraction from Microstructured GaN-Based Light Emitting Diodes by Technique of Nanoimprint。
Bao Kui, Zhang Bei, Dai Tao, Kang Xiangning, Chen Zhizhong, Wang Zhimin, Chen Yong
Chin. J. Semicond.  2007, 28(S1): 464-466
Abstract PDF

To further improve surface light extraction from flip·chip GaN·based LED·the patterning of two-dimensional (2D)microstructural arrays on the top of the LED was proposed in this report.Based on this proposal,the novel LEDs COV· ered by thin encapsulations with microstructure·arrays were successfully fabricated by employing the nanoimprint technique of embossing of thermosetting polymers. As a result。a clear enhancement of surface light extraction was obtained in the LEDs with encaDsulations of micro-arrays.A 60%improvement of light output was achieved on these 1ram×lmm GaN based LEDs under injection current of 350mA.Consequently。this work provides an available and simplified approach to increase the extraction efficiency of LEDs.

To further improve surface light extraction from flip·chip GaN·based LED·the patterning of two-dimensional (2D)microstructural arrays on the top of the LED was proposed in this report.Based on this proposal,the novel LEDs COV· ered by thin encapsulations with microstructure·arrays were successfully fabricated by employing the nanoimprint technique of embossing of thermosetting polymers. As a result。a clear enhancement of surface light extraction was obtained in the LEDs with encaDsulations of micro-arrays.A 60%improvement of light output was achieved on these 1ram×lmm GaN based LEDs under injection current of 350mA.Consequently。this work provides an available and simplified approach to increase the extraction efficiency of LEDs.
Influence of Etching on the Luminescence Characteristic of Strained InAsP/InGaAsP Multiple Quantum Wells。
Cao Meng, Wu Huizhen, Lao Yanfeng, Liu Cheng, Xie Zhengsheng, Cao Chunfang
Chin. J. Semicond.  2007, 28(S1): 467-470
Abstract PDF

To investigate the influence of dry etching on the luminescence characteristic of strained multiple quantum wells, InAsP/InGaAsP multiple quantum wells are grown using gas source molecular epitaxy (GSMBE). It was found that after dry etching a certain depth of the cap layer of the multiple quantum well structure,the PL intensity of the quantum well is enhanced greatly. It was caused by rough surface and microstructure change after dry etching.

To investigate the influence of dry etching on the luminescence characteristic of strained multiple quantum wells, InAsP/InGaAsP multiple quantum wells are grown using gas source molecular epitaxy (GSMBE). It was found that after dry etching a certain depth of the cap layer of the multiple quantum well structure,the PL intensity of the quantum well is enhanced greatly. It was caused by rough surface and microstructure change after dry etching.
Characteristics and Structural Optimization of Multi-Quantum-Well Structure of GaN-Based Laser Diode
Wei Qiyuan, Li Ti, Wang Yanjie, Chen Weihua, Li Rui, Pan Yaobo, Xu Ke, Zhang Bei, Yang Zhijian, Hu Xiaodon
Chin. J. Semicond.  2007, 28(S1): 471-474
Abstract PDF

For the quaternary and ternary alloy as active region of LDs,the key parameters including threshold current and external differential quantum efficiency of LD samples were compared and investigated,while the gain distribution was simulated. The better performance is attributed to the optimum quaternary MQW structure design. For the optimum MQWs,the further study on the leakage current and gain in LDs is performed.

For the quaternary and ternary alloy as active region of LDs,the key parameters including threshold current and external differential quantum efficiency of LD samples were compared and investigated,while the gain distribution was simulated. The better performance is attributed to the optimum quaternary MQW structure design. For the optimum MQWs,the further study on the leakage current and gain in LDs is performed.
Organic Light Emitting Diode Using Mg Doped Organic Acceptor Involved in Electron Inj ection
Cao Guohua, Qin Dashan, Cao Junsong, Zeng Yiping, Li Jinmin
Chin. J. Semicond.  2007, 28(S1): 475-477
Abstract PDF

One new-typed organic light·emitting diode using an Mg doped organic electron acceptor(PTCDA)as electron injeetion layer and Ag as cathode was demonstrated.Compared with the traditional Mg:Ag cathode,PTCDA:Mg/Ag provid· ed nearly the same electron injection to Alq3. But due to the better reflection of Ag to the visible light over Mg:Ag cathode,corresponding efficiency was enhanced about 40%.In the amorphous film of PTCDA:Mg,PTCDA and Mg were considered to form a complex via a weak coupling.

One new-typed organic light·emitting diode using an Mg doped organic electron acceptor(PTCDA)as electron injeetion layer and Ag as cathode was demonstrated.Compared with the traditional Mg:Ag cathode,PTCDA:Mg/Ag provid· ed nearly the same electron injection to Alq3. But due to the better reflection of Ag to the visible light over Mg:Ag cathode,corresponding efficiency was enhanced about 40%.In the amorphous film of PTCDA:Mg,PTCDA and Mg were considered to form a complex via a weak coupling.
Proton Irradiation on the Performance of the Superluminescent
Zhao Miao, Sun Mengxiang
Chin. J. Semicond.  2007, 28(S1): 478-481
Abstract PDF

The superluminescent was proton irradiated,the energy is 350keV and 1MeV,and the fluence is 1×10^12 and 1× 10^13p/cm2,respectively.the optical and electrical characters after irradiation are studied.when the SLD is irradiated in the similar proton fluence,the degradation of optical power with 350keV is higher than those with 1MeV.The resist irradiation in QWSLD is more excellent than the DHSLD.The ions range in the material is simulated,and the irradiation damage mechanism is discussed.

The superluminescent was proton irradiated,the energy is 350keV and 1MeV,and the fluence is 1×10^12 and 1× 10^13p/cm2,respectively.the optical and electrical characters after irradiation are studied.when the SLD is irradiated in the similar proton fluence,the degradation of optical power with 350keV is higher than those with 1MeV.The resist irradiation in QWSLD is more excellent than the DHSLD.The ions range in the material is simulated,and the irradiation damage mechanism is discussed.
Vertical Electrode Structure GaN Based Light Emitting Diodes
Kang Xiangning, Bao Kui, Chen Zhizhong, Xu Ke, Zhang Bei, Yu Tongjun, Nie Ruijuan, Zhang Guoyi
Chin. J. Semicond.  2007, 28(S1): 482-485
Abstract PDF

Laser lift-off technique (LLO) and wafer bounding technique were employed to transform GaN based light·emit- ting diode(LED)membrane from sapphire onto Si substrate.It can be derived from the result of high resolution X-ray diffraction(HRXRD)and cathodoluminescence spectra(CL) that the InGaN MQW structure and optical quality maintain as grown state.The red shift of the emission peak of GaN and InGaN/GaN MQW originating from the release of stress after the GaN based film depart from sapphire.In and Pd were used as metal bonding material.And combined with chip by chip lift.off mode。the integrated and uniform GaN film on Si can be realized.The vertical electrode GaN based LED can be fabrication. L-I characterization results showed sharp device improvements in terms of maximum allowable current and output power.

Laser lift-off technique (LLO) and wafer bounding technique were employed to transform GaN based light·emit- ting diode(LED)membrane from sapphire onto Si substrate.It can be derived from the result of high resolution X-ray diffraction(HRXRD)and cathodoluminescence spectra(CL) that the InGaN MQW structure and optical quality maintain as grown state.The red shift of the emission peak of GaN and InGaN/GaN MQW originating from the release of stress after the GaN based film depart from sapphire.In and Pd were used as metal bonding material.And combined with chip by chip lift.off mode。the integrated and uniform GaN film on Si can be realized.The vertical electrode GaN based LED can be fabrication. L-I characterization results showed sharp device improvements in terms of maximum allowable current and output power.
Room Temperature Continuous Wave Quantum Well Lasers
Zhao Huan, Du Yun, Ni Haiqiao, Zhang Shiyong, Han Qin, Xu Yingqiang, Niu Zhichuan, Wu Ronghan
Chin. J. Semicond.  2007, 28(S1): 486-488
Abstract PDF

Investigations of in-situ and ex-situ annealing effects on GaInNAs(Sb)/GaNAs/GaAs quantum wells (Qws) laser diodes grown by molecular beam epitaxy are carried out systematically.The crystal quality of QWs can be improved effective. 1y by rapid thermal annealing.Few investigations were made for lasers especially at a wavelength of 1.55pm.The emission wavelength of those QWs lasers,which were grown by MBE using low growth rates and introducing in-situ annealing process during the growth,has been extended up over 1.55um. A 1.59um lasing of a GalnNAsSb/GaNAs/GaAs single quantum well laser diode is obtained under continuous current injection at room temperature.The threshold current density is 2.6kA/cm2.

Investigations of in-situ and ex-situ annealing effects on GaInNAs(Sb)/GaNAs/GaAs quantum wells (Qws) laser diodes grown by molecular beam epitaxy are carried out systematically.The crystal quality of QWs can be improved effective. 1y by rapid thermal annealing.Few investigations were made for lasers especially at a wavelength of 1.55pm.The emission wavelength of those QWs lasers,which were grown by MBE using low growth rates and introducing in-situ annealing process during the growth,has been extended up over 1.55um. A 1.59um lasing of a GalnNAsSb/GaNAs/GaAs single quantum well laser diode is obtained under continuous current injection at room temperature.The threshold current density is 2.6kA/cm2.
Room Temperature,Low Threshold Distributed Feedback Quantum Cascade Lasers
Xu Gangyi, Li Yaoyao, Li Aizhen, Wei Lin, Zhang Yonggang, Li Hua
Chin. J. Semicond.  2007, 28(S1): 489-491
Abstract PDF

We report on pulsed and continuous-wave operation of single mode tunable distributed feedback quantum cascade lasers at A≈7.7um.A deep top grating and a thin heavily doped InP layer beneath the grating are formed in the upper clad- ding to obtain simultaneously a strong feedback effect and a low waveguide loss.In pulsed operation,a very low threshold current density of 970A/cm2 and a peak power of 75mW are achieved at 300K. Reliable single mode emission with a side mode suppression ratio of~30dB is achieved in a large temperature range(155~320K)with a tuning range of 90nm.The lasets operate in continuous.wave mode up to 130K.

We report on pulsed and continuous-wave operation of single mode tunable distributed feedback quantum cascade lasers at A≈7.7um.A deep top grating and a thin heavily doped InP layer beneath the grating are formed in the upper clad- ding to obtain simultaneously a strong feedback effect and a low waveguide loss.In pulsed operation,a very low threshold current density of 970A/cm2 and a peak power of 75mW are achieved at 300K. Reliable single mode emission with a side mode suppression ratio of~30dB is achieved in a large temperature range(155~320K)with a tuning range of 90nm.The lasets operate in continuous.wave mode up to 130K.
AIGaN-Based Multi-Type Distributed Bragg Reflectors Grown by MOCVD
Liu Bin, Zhang Rong, Xie Zili, Ji Xiaoli, Li Liang, Zhou Jianjun, Jiang Ruolian, Han Ping, Zheng Youdou, Zheng Jianguo, Gong Haimei
Chin. J. Semicond.  2007, 28(S1): 492-495
Abstract PDF

A1GaN-based multi-type distributed Bragg reflectors (DBRs) grown by metal-organic chemical vapor deposition (MOCVD)were investigated.These DBRs work at the wavelengths from blue/green to ultraviolet,which respectively com. pose of several periods of GaN/AlN,Al0.3Ga0.7 N/AlN,Al0.5 Ga0.5 N/AlN bi-layers grown on thick GaN templates.These DBRs show smooth surfaces with roughness less than lnm probed by atomic force microscopy.The good periodie structure and sharp interfaces are determined by high-resolution X-ray diffraction and cross-section TEM.The reflectance spectra of these DBRs are measured by ultraviolet.visible spectrometer,which show the reflectance and bandwidth relates to not only the number of stacks but also the difference of index of refraction.

A1GaN-based multi-type distributed Bragg reflectors (DBRs) grown by metal-organic chemical vapor deposition (MOCVD)were investigated.These DBRs work at the wavelengths from blue/green to ultraviolet,which respectively com. pose of several periods of GaN/AlN,Al0.3Ga0.7 N/AlN,Al0.5 Ga0.5 N/AlN bi-layers grown on thick GaN templates.These DBRs show smooth surfaces with roughness less than lnm probed by atomic force microscopy.The good periodie structure and sharp interfaces are determined by high-resolution X-ray diffraction and cross-section TEM.The reflectance spectra of these DBRs are measured by ultraviolet.visible spectrometer,which show the reflectance and bandwidth relates to not only the number of stacks but also the difference of index of refraction.
Surface Roughening with Sapphire Microlens Arrays in Flip-Chip GaN-Based LEDs。
Liu Zhiqiang, Wang Liangchen, Yi Xiaoyan, Wang Libin, Chen Yu, Guo Debo, Ma Long
Chin. J. Semicond.  2007, 28(S1): 496-499
Abstract PDF

The effect of surface roughness of microlens arrays on the performance of flip-chip GaN-based LEDs was investigated by simulation,and microlens arrays were fabricated on sapphire to fulfill surface roughening in flip-chip GaN-based LEDs.The results indicate that compared with conventional flip-chip GaN-based LEDs,microlens arrays roughening carl im。 prove the extraction efficiency with a factor of 1.5·and the experimental results are in good agreement with the simulation results.

The effect of surface roughness of microlens arrays on the performance of flip-chip GaN-based LEDs was investigated by simulation,and microlens arrays were fabricated on sapphire to fulfill surface roughening in flip-chip GaN-based LEDs.The results indicate that compared with conventional flip-chip GaN-based LEDs,microlens arrays roughening carl im。 prove the extraction efficiency with a factor of 1.5·and the experimental results are in good agreement with the simulation results.
Analyses in Reliability of GaN-Based High Power Light Emitting Diodes
Chen Yu, Wang Liangchen, Yi Xiaoyan, Wang Libin, Liu Zhiqiang, Ma Long, Yan Lihong
Chin. J. Semicond.  2007, 28(S1): 500-503
Abstract PDF

High.power blue and white light.emitting diodes were fabricated with the blue LEDs chips as primary light source. Its photoelectric characteristic was measured during DC aging test up to 1080h at current 350mA under room temperatures 25℃and humidity 35%,and the degradation mechanism was investigated.As a result,the relative light output of blue and white LEDs degrades exponentially with the time,arriving at 1.35% and 2.56%. This paper presents the degradation mechanisms of GaN.based LED including the growth and formation of the GaN material defects,structure of GaN·based flip-chip structure LED.p-GaN electrode contact metal degradation,and so on,and the measures improving reliability of the device.

High.power blue and white light.emitting diodes were fabricated with the blue LEDs chips as primary light source. Its photoelectric characteristic was measured during DC aging test up to 1080h at current 350mA under room temperatures 25℃and humidity 35%,and the degradation mechanism was investigated.As a result,the relative light output of blue and white LEDs degrades exponentially with the time,arriving at 1.35% and 2.56%. This paper presents the degradation mechanisms of GaN.based LED including the growth and formation of the GaN material defects,structure of GaN·based flip-chip structure LED.p-GaN electrode contact metal degradation,and so on,and the measures improving reliability of the device.
Thermal Simulation and Analysis of High Power Flip-Chip Light-Emitting Diode System
Wang Libin, Liu Zhiqiang, Chen Yu, Yi Xiaoyan, Ma Long, Pan Lingfeng, Wang Liangchen
Chin. J. Semicond.  2007, 28(S1): 504-508
Abstract PDF

In order to reduce the thermal resistance of LED system,the thermal property of high power flip-chip light-emitting diode is simulated by ANSYSl0 and analyzed.The results show that the bumps,Si-submount,case and heat-sink contribute little to the thermal resistance of LED system,but the thermal resistance of chip,adhesive and heat-sink to ambient are much larger,should be much concerned in thermal design of LED system.So optimizing the design of LED chip and heatsink,choosing adhesive with high thermal conductivity can reduce the thermal resistance of LED system effectively.

In order to reduce the thermal resistance of LED system,the thermal property of high power flip-chip light-emitting diode is simulated by ANSYSl0 and analyzed.The results show that the bumps,Si-submount,case and heat-sink contribute little to the thermal resistance of LED system,but the thermal resistance of chip,adhesive and heat-sink to ambient are much larger,should be much concerned in thermal design of LED system.So optimizing the design of LED chip and heatsink,choosing adhesive with high thermal conductivity can reduce the thermal resistance of LED system effectively.
Photonic Crystal Vertical Cavity Surface Emitting and Detecting Photodiodes
Song Qian, Xu Xingsheng, Hu Haiyang, Lu Lin, Wang Chunxia, Du Wei, Liu Famin, Chen Hongda
Chin. J. Semicond.  2007, 28(S1): 509-512
Abstract PDF

A photonic crystal confined vertical‘cavity surface-emitting lasers was obtained under continuous operate at about 980nm wavelength·The experiments research’such as the spectrum analysis of GaAs materials,the oxidized processing and the photonic crystal fabrication,are detailed presented.A photonic crystal resonant cavity enhanced photodiode,which was based on the same materials of PC-VCSEL,was fabricated by wet·chemical etching and ICP etching techniques.

A photonic crystal confined vertical‘cavity surface-emitting lasers was obtained under continuous operate at about 980nm wavelength·The experiments research’such as the spectrum analysis of GaAs materials,the oxidized processing and the photonic crystal fabrication,are detailed presented.A photonic crystal resonant cavity enhanced photodiode,which was based on the same materials of PC-VCSEL,was fabricated by wet·chemical etching and ICP etching techniques.
High-Reliability 16×16 SOI Thermo-Optic Switch Matrix’
Li Yuntao, Yu Jinzhong, Li ZhiYang, Chen Shaowu
Chin. J. Semicond.  2007, 28(S1): 513-515
Abstract PDF

A driving circuit is designed on the basis of analyzing of the influences of heaters on the reliability of 16×16 SOI thermo-optic switch matrix.And the reliability of the device improves from 34.9% to 92.30%,assuming the probability of destroyed heaters is 5%.At the same time,the I/O ports after package decreases from 34 to 10. Based on the new driving cir cur.a high-reliability 16×16 SOl thermo-optic switch matrix is designed.

A driving circuit is designed on the basis of analyzing of the influences of heaters on the reliability of 16×16 SOI thermo-optic switch matrix.And the reliability of the device improves from 34.9% to 92.30%,assuming the probability of destroyed heaters is 5%.At the same time,the I/O ports after package decreases from 34 to 10. Based on the new driving cir cur.a high-reliability 16×16 SOl thermo-optic switch matrix is designed.
Compact Blocking 8×8 Optical Matrix Switch on S01 with Taper Waveguides and Self-Aligned Total Internal Reflection Mirrors
Li ZhiYang, Li Yuntao, Liu Jingwei, Chen Shaowu, Yu Jinzhong
Chin. J. Semicond.  2007, 28(S1): 516-519
Abstract PDF

Novel folding 8×8 matrix switches based on silicon.on-insulator(SOI)were demonstrated.In the design,single mode rib waveguides and multimode interferences(MMIs)are connected by optimized tapered waveguides to reduce the mode coupling loss between the two types of waveguides.And the self-aligned method was applied to the key integrated turn· ing mirrors for perfect positioas and low loss of them.The switch element( SE) with high switching speed and low power consumption is presented in the matrix.The average insertion loss of the matrix is about 21dB and the excess loss of one mir· rot is measured to be 1.4dB.The worst crosstalk is larger than -21dB.Experimental results show that some of the main characteristics of optical matrix switches are developed in the modified design,which agree with the theoretieal analyses.

Novel folding 8×8 matrix switches based on silicon.on-insulator(SOI)were demonstrated.In the design,single mode rib waveguides and multimode interferences(MMIs)are connected by optimized tapered waveguides to reduce the mode coupling loss between the two types of waveguides.And the self-aligned method was applied to the key integrated turn· ing mirrors for perfect positioas and low loss of them.The switch element( SE) with high switching speed and low power consumption is presented in the matrix.The average insertion loss of the matrix is about 21dB and the excess loss of one mir· rot is measured to be 1.4dB.The worst crosstalk is larger than -21dB.Experimental results show that some of the main characteristics of optical matrix switches are developed in the modified design,which agree with the theoretieal analyses.
Monolithically Integrated Long Wavelength Photoreceiver OEIC Based on InP/InGaAs HBT Technology’
Li Xianjie, Zhao Yonglin, Cai Daomin, Zeng Qingming, Pu Yunzhang, Guo Yana, Wang Zhigong, Wang Rong, Qi Ming, Chen Xiaojie, Xu Anhuai
Chin. J. Semicond.  2007, 28(S1): 520-524
Abstract PDF

The epitaxial structure and growth,circuit design,fabricating process and characterization are described for the photoreceiver oEIC based on InP/InGaAs HBT/PIN photodetector integration scheme.A 1.55μm wavelength monolithically integrated photoreceiver OEIC is demonstrated with self-aligned InP/InGaAs HBT process.The InP/InGaAs HBT with a 2μm x 8μm emitter contact showed a DC gain of 40,a DC gain cutoff frequency of 45GHz and a maximum frequency of os. cillation of 54GHz.The integrated InGaAs photodetector exhibited a responsivity of 0.45A/W at A=1.55“m,a dark current less than 10hA at a bias of -5V and a -3dB bandwidth of 10.6GHz.Clear and opening eye diagrams were obtained for a NRZ 2^23一1 pseudorandom code at both 2.5 and 3.0Gb/s.The sensitivity for a bit error ratio of BER=10-9 at 2.5Gb/s is leSS than -15.2dBm.

The epitaxial structure and growth,circuit design,fabricating process and characterization are described for the photoreceiver oEIC based on InP/InGaAs HBT/PIN photodetector integration scheme.A 1.55μm wavelength monolithically integrated photoreceiver OEIC is demonstrated with self-aligned InP/InGaAs HBT process.The InP/InGaAs HBT with a 2μm x 8μm emitter contact showed a DC gain of 40,a DC gain cutoff frequency of 45GHz and a maximum frequency of os. cillation of 54GHz.The integrated InGaAs photodetector exhibited a responsivity of 0.45A/W at A=1.55“m,a dark current less than 10hA at a bias of -5V and a -3dB bandwidth of 10.6GHz.Clear and opening eye diagrams were obtained for a NRZ 2^23一1 pseudorandom code at both 2.5 and 3.0Gb/s.The sensitivity for a bit error ratio of BER=10-9 at 2.5Gb/s is leSS than -15.2dBm.
Investigation on Monolithic Integration of Distributed Feedback Lasers and Y-Branch for Microwave Generation。
Wang Lu, Xie Hongyun, Zhao Lingjuan, Pan Jiaoqing, Zhou Fan, Bian Jing, Wang Lufeng, Zhu Hongliang, Wang Wei
Chin. J. Semicond.  2007, 28(S1): 525-528
Abstract PDF

Two distributed feedback(DFB)lasers,which have the same period grating.integrated monolithically with Y. branch waveguide are designed and fabricated.The peak wavelength of 1565nm and side mode suppression ration(SMSR)of over 30dB iS realized on the Y·branch side at the driving current injected into DFB lasers separately.The two different longi. tudinal mode wavelengths are detected at the 20mA difference of the driving current simultaneously injected into two DFB lasers and the two modes can generate a microwave by beat·frequency.The microwave frequency can be rapidly tuned be. tween the range of 13 and 42GHz by varying driving current from 10 to 20mA.The Y.branch based microwave generation device of twin DFB lasers has better optical and electricalisolation compared with traditional device of two-section DFB la. sers,so it can be considered as a novel approach of beat-frequency.

Two distributed feedback(DFB)lasers,which have the same period grating.integrated monolithically with Y. branch waveguide are designed and fabricated.The peak wavelength of 1565nm and side mode suppression ration(SMSR)of over 30dB iS realized on the Y·branch side at the driving current injected into DFB lasers separately.The two different longi. tudinal mode wavelengths are detected at the 20mA difference of the driving current simultaneously injected into two DFB lasers and the two modes can generate a microwave by beat·frequency.The microwave frequency can be rapidly tuned be. tween the range of 13 and 42GHz by varying driving current from 10 to 20mA.The Y.branch based microwave generation device of twin DFB lasers has better optical and electricalisolation compared with traditional device of two-section DFB la. sers,so it can be considered as a novel approach of beat-frequency.
Parallel Optical Transmitter and Receiver Modules with Optical Interconnection‘
Chen Xiongbin, Tang Jun, Zhou Yi, Pei Weihua, Liu Bo, Chen Hongda
Chin. J. Semicond.  2007, 28(S1): 529-532
Abstract PDF

A new 12 channels paraUel optical transmitter module,in whieh a GaAs.based VCSEL array has been selected as the optical source,is capable of transmitting 37.5Gbps data over hundreds of meters. A new 12 channels parallel optical receiver module,in which a GaAs-based photo detector array of PIN type has been selected as the optical receiver unit, is capeble of responding to 30Gbps data,A novel parallel optical 10G bit/s transmission system based on parallel optical transmitter and receiver modules was made.This kind of parallel optieal transmitter module.receiver module and transmission system can be used in high performance computer systems for optical interconnection.

A new 12 channels paraUel optical transmitter module,in whieh a GaAs.based VCSEL array has been selected as the optical source,is capable of transmitting 37.5Gbps data over hundreds of meters. A new 12 channels parallel optical receiver module,in which a GaAs-based photo detector array of PIN type has been selected as the optical receiver unit, is capeble of responding to 30Gbps data,A novel parallel optical 10G bit/s transmission system based on parallel optical transmitter and receiver modules was made.This kind of parallel optieal transmitter module.receiver module and transmission system can be used in high performance computer systems for optical interconnection.
Manufacture of 37.5Gbit/s Optical Transmission Modules
Tang Jun, Chen Hongda, Pei Weihua, Jia Jiuchun, Zhou Yi
Chin. J. Semicond.  2007, 28(S1): 533-535
Abstract PDF

The 12-channel parallel optical transmitter with each channel’s data rate up to 3.125Gbit/s was designed and measured.An 850nm vertical cavity surface emitting laser (VCSEL) array was adopted as the light source.we developed a new technology using jointing machine to couple the VCSEL array with fiber array without power supply. The eye diagram at 3.125Gbit/s was achieved with the optical output more than lmW at operating current of 8mA.

The 12-channel parallel optical transmitter with each channel’s data rate up to 3.125Gbit/s was designed and measured.An 850nm vertical cavity surface emitting laser (VCSEL) array was adopted as the light source.we developed a new technology using jointing machine to couple the VCSEL array with fiber array without power supply. The eye diagram at 3.125Gbit/s was achieved with the optical output more than lmW at operating current of 8mA.
Resistivity Measurement of High-Resistivity GaN Film
Fang Cebao, Wang Xiaoliang, Xiao Hongling, Wang Cuimei, Ran Junxue, Li Chengji, Luo Weijun, Yang Cuibai, Zeng Yiping, Li Jinmin
Chin. J. Semicond.  2007, 28(S1): 536-540
Abstract PDF

Wc establish an equipment of measuring resistivity of high·resistivity GaN film for insulated-resistance more than 10^13 Ω and temperature fluctuation less than 0.1℃,and state briefly measurement principle of resistivity.We also investigate the influence of environmental temperature。humidity,leakage current,collected-time of data,measured-voltage,and size of sample on resistivity,respectively,and discuss measurement error induced by the factors.

Wc establish an equipment of measuring resistivity of high·resistivity GaN film for insulated-resistance more than 10^13 Ω and temperature fluctuation less than 0.1℃,and state briefly measurement principle of resistivity.We also investigate the influence of environmental temperature。humidity,leakage current,collected-time of data,measured-voltage,and size of sample on resistivity,respectively,and discuss measurement error induced by the factors.
Gas Fluid Modeling of SiC Epitaxial Growth in Chemical Vapor Deposition Processes
Jia Renxu, Zhang Yimen, Zhang Yuming, Guo Hui
Chin. J. Semicond.  2007, 28(S1): 541-544
Abstract PDF

A gas fluid model for chemical vapor deposition processes is presented.Geometrical shape of susceptor has been designed based on the calculation and simulation with COMSOL program. The results show that the changes of susceptor shape have obvious effects on the distribution of gas fluid on the substrate.Gas fluid on the surface is uniformly distributed when the susceptor has a certain angle.This result is helpful for obtaining the high quality silicon carbide epitaxial layers.

A gas fluid model for chemical vapor deposition processes is presented.Geometrical shape of susceptor has been designed based on the calculation and simulation with COMSOL program. The results show that the changes of susceptor shape have obvious effects on the distribution of gas fluid on the substrate.Gas fluid on the surface is uniformly distributed when the susceptor has a certain angle.This result is helpful for obtaining the high quality silicon carbide epitaxial layers.
Effect of Surface Treatment on P-GaN Ohmic Contact Property
Zhao Desheng, Zhang Shuming, Zhu Jianjun, Zhao Degang, Duan Lihong, Zhang Baoshun, Yang Hui
Chin. J. Semicond.  2007, 28(S1): 545-547
Abstract PDF

The effect of the aqua regia solution on ohmic contact property of p-GaN is investigated.After aqua regia treat· ment the specific contact resistance of Au/Ni/p-OaN is improved from 8×10^13to 2.9×10^-4 Ω·cm2. With XPS measure· ment the concentration of oxide in the surface of GaN is obtained and it is obviously decreased due to aqua regia treatment。 So We can suggest that aqua regia is effective in removing the surface oxides and as a result the p-GaN ohmic contact property is improved.

The effect of the aqua regia solution on ohmic contact property of p-GaN is investigated.After aqua regia treat· ment the specific contact resistance of Au/Ni/p-OaN is improved from 8×10^13to 2.9×10^-4 Ω·cm2. With XPS measure· ment the concentration of oxide in the surface of GaN is obtained and it is obviously decreased due to aqua regia treatment。 So We can suggest that aqua regia is effective in removing the surface oxides and as a result the p-GaN ohmic contact property is improved.
Study of ITO Contact to P-SiCGe
Chen Chunlan, Chen Zhiming, Pu Hongbin, Li Lianbi, Li Jia
Chin. J. Semicond.  2007, 28(S1): 548-551
Abstract PDF

Indium tin oxide(1TO) thin film was deposited on p-SiCGe and glass substrates by DC magnetic controlled sputtering and contact property of the deposited lTO on p-type SiCGe is investigated as a function of the preparation conditions of p-SiCGe,annealing temperature and annealing time.The results show that the different preparation conditions of p-SiCGe make the ITO contact to p-SiCGe after annealing changed from nonlinear to linear or from linear to nonlinear,and as the thermal annealing temperature increases for a given annealing time,the contact resistance is decreased until the minimum contact resistances are obtained and the further increase of annealing temperature increases the contact resistance.Because it iS related to interfacial reaction and the contact potential barrier height and width during annealing,at annealing temperature of 500℃, the minimum contact resistance is obtained,the highest transmittance of ITO films is 90%,and the sheet resistance is 20.6Ω/□.

Indium tin oxide(1TO) thin film was deposited on p-SiCGe and glass substrates by DC magnetic controlled sputtering and contact property of the deposited lTO on p-type SiCGe is investigated as a function of the preparation conditions of p-SiCGe,annealing temperature and annealing time.The results show that the different preparation conditions of p-SiCGe make the ITO contact to p-SiCGe after annealing changed from nonlinear to linear or from linear to nonlinear,and as the thermal annealing temperature increases for a given annealing time,the contact resistance is decreased until the minimum contact resistances are obtained and the further increase of annealing temperature increases the contact resistance.Because it iS related to interfacial reaction and the contact potential barrier height and width during annealing,at annealing temperature of 500℃, the minimum contact resistance is obtained,the highest transmittance of ITO films is 90%,and the sheet resistance is 20.6Ω/□.
Barriers of Au/CdZnTe with Synchrotron Radiation
Zha Gangqiang, Tan Tingting, Zhang Wenhua, Jie Wanqi
Chin. J. Semicond.  2007, 28(S1): 552-554
Abstract PDF

Au Schottky contact was deposited oil clean CZT(110) and (111)A surfaces by molecular beam epitaxy.Synchro· tron radiation photoemission spectroscopy (SRPES) was used to studied the real Schottky barrier of Au/CdZnTe.The real Schottky barrier heights were measured to be 0.738 and 0.566eV,respectively.Using metal-induced gap states (MIGS) model,the results of experiment were explained.

Au Schottky contact was deposited oil clean CZT(110) and (111)A surfaces by molecular beam epitaxy.Synchro· tron radiation photoemission spectroscopy (SRPES) was used to studied the real Schottky barrier of Au/CdZnTe.The real Schottky barrier heights were measured to be 0.738 and 0.566eV,respectively.Using metal-induced gap states (MIGS) model,the results of experiment were explained.
A New Method for Testing Inner Strains of Semiconductor Substrate Materials--Polarization Transmittance Difference
Zhao Linghui, Chen Yonghai
Chin. J. Semicond.  2007, 28(S1): 555-557
Abstract PDF

A new polarization transmittance difference method has been developed to measure inner strains in semiconductor substrate materials.By using polarization modulation technique in this method,the process becomes simple,quick and the re· suits become more correct for there is no need to rotate samples or polarized elements to measure many times like in other methods.Two-dimensional distribution of inner strains in integral materials can be shown.This method is non·destructive.

A new polarization transmittance difference method has been developed to measure inner strains in semiconductor substrate materials.By using polarization modulation technique in this method,the process becomes simple,quick and the re· suits become more correct for there is no need to rotate samples or polarized elements to measure many times like in other methods.Two-dimensional distribution of inner strains in integral materials can be shown.This method is non·destructive.
Study of AIGalnP/Si Wafer Bonding
Guo Debo, Liang Meng, Fan Manning, Liu Zhiqiang, Wang Liangchen, Wang Guohong
Chin. J. Semicond.  2007, 28(S1): 558-560
Abstract PDF

Wafer bonding is a new technology for integrating material and a hot issue in the field of optical electronic integration. This paper describes the integration of AIGalnP with Si using a AuSn alloy as a bonding material. From the currentvoltage measurements·it is found that the bonded interfaces do not obstruct the carrier transport.The CROSS-sectional micro-graphs of the joined wafers show that the quality of bonded material is good.Furthermore,the optical reflection measurements reveal that the AuSn alloy works as a reflector,which is a suitable characteristic for the integration of A1GalnP lightemitting devices with Si.

Wafer bonding is a new technology for integrating material and a hot issue in the field of optical electronic integration. This paper describes the integration of AIGalnP with Si using a AuSn alloy as a bonding material. From the currentvoltage measurements·it is found that the bonded interfaces do not obstruct the carrier transport.The CROSS-sectional micro-graphs of the joined wafers show that the quality of bonded material is good.Furthermore,the optical reflection measurements reveal that the AuSn alloy works as a reflector,which is a suitable characteristic for the integration of A1GalnP lightemitting devices with Si.
Etching Behavior of Microdefect in Diluted Magnetic Semiconductor H90.89 Mn0.11Te
Wang Zewen, Zhang Long, Gu Zhi, Jie Wanqi
Chin. J. Semicond.  2007, 28(S1): 561-564
Abstract PDF

The surface of H90 89 Mn0.11 Te wafer grown by vertical Bridgman method after being etched 30,60,90,120,and 150s by etchant of 150mL saturation water solution of potassium bichromate and 20 mL HCl have been observed by optical microscope and scanning electron microscope.Grain boundaries and Te inclusions are distinctly observable after etched 30s. but the intrinsical dislocation of wafer is only observable after 120s.The density of dislocation etch pits shows a peak value at 60s with increasing etching time,and turns to a steady state value after 120s.The dimensions of etch pits is always increase with etching time increasing.The analysis shows that the initial dislocation etch pits are induced by the surface damaged layer.

The surface of H90 89 Mn0.11 Te wafer grown by vertical Bridgman method after being etched 30,60,90,120,and 150s by etchant of 150mL saturation water solution of potassium bichromate and 20 mL HCl have been observed by optical microscope and scanning electron microscope.Grain boundaries and Te inclusions are distinctly observable after etched 30s. but the intrinsical dislocation of wafer is only observable after 120s.The density of dislocation etch pits shows a peak value at 60s with increasing etching time,and turns to a steady state value after 120s.The dimensions of etch pits is always increase with etching time increasing.The analysis shows that the initial dislocation etch pits are induced by the surface damaged layer.
4H-SiC MESFET Device Process
Chen Gang, Bai Song, Zhang Tao, Wang Hao, Li Zheyang, Jiang Youquan
Chin. J. Semicond.  2007, 28(S1): 565-567
Abstract PDF

We report the key devico process of 4H-SiC MESFET.We fabricate n-channel 4H-SiC MESFETs with lmm total gate periphery with a device process designed by US.The RF characteristics are studied. At,fo=2GHz and Vds=64V,the maximum output power is measured to be 4.1W,and the gain of small signal exceeds 10dB.

We report the key devico process of 4H-SiC MESFET.We fabricate n-channel 4H-SiC MESFETs with lmm total gate periphery with a device process designed by US.The RF characteristics are studied. At,fo=2GHz and Vds=64V,the maximum output power is measured to be 4.1W,and the gain of small signal exceeds 10dB.
Challenges and Solution of Fabrication Techniques for Silicon-Based NanD-Photonics Devices。
Chen Shaowu, Tu Xiaoguang, Yu Hejun, Fan Zhongchao, Xu Xuejun, Yu Jinzhong
Chin. J. Semicond.  2007, 28(S1): 568-571
Abstract PDF

This paper deals with several key fabrication techniques for silicon-based nano-photonics devices·including correction of optical proximity effect(OPE)of deep UV lithography and proximity effect of e-beam lithography(EBL).reduction of side wall roughness of silicon nanowire waveguide fabricated by ICP-RIE technique,compactness of silicon dioxide CVD filling for DBR grating grooves and MOS isolation gates.Based on the experimental results,some solutions were proposed and discussed.

This paper deals with several key fabrication techniques for silicon-based nano-photonics devices·including correction of optical proximity effect(OPE)of deep UV lithography and proximity effect of e-beam lithography(EBL).reduction of side wall roughness of silicon nanowire waveguide fabricated by ICP-RIE technique,compactness of silicon dioxide CVD filling for DBR grating grooves and MOS isolation gates.Based on the experimental results,some solutions were proposed and discussed.
Study of CMP Lapping Technique of ULSI Silicon Substrate
Zhou Jianwei, Liu Yuling, Zhang Wei
Chin. J. Semicond.  2007, 28(S1): 572-573
Abstract PDF

By the analysis to the traditional wafer lapping process,the main factors which effect the wafer's surface quality are concluded,then a new method which apply CMP technique into ULSI silicon substrate lapping process is brought up.This method's purpose is to reduce intense,simplex mechanical effect and increase chemistry.The result is verified by experiment that the rate is increased by 20%,moreover,the surface roughness and damage are reduced effectively.

By the analysis to the traditional wafer lapping process,the main factors which effect the wafer's surface quality are concluded,then a new method which apply CMP technique into ULSI silicon substrate lapping process is brought up.This method's purpose is to reduce intense,simplex mechanical effect and increase chemistry.The result is verified by experiment that the rate is increased by 20%,moreover,the surface roughness and damage are reduced effectively.
Analysis of Factors Affecting CMP Removal Rate of Lithium Niobate
Tan Baimei, Niu Xinhuan, Han Lili, Liu Yuling, Cui Chunxiang
Chin. J. Semicond.  2007, 28(S1): 574-578
Abstract PDF

In this paper,the CMP mechanism of lithium niobate wafer was analyzed.Improving mass transmission is one of the keys to obtain the perfect surface.Chemical reaction is the controlling factor of CMP process,SO the removal rate of CMP can be improved by enhancing chemical reaction.The experiments had been performed under the condition of different pH.abrasive concentration of polishing slurry,polishing pressure and slurry flow.The influence mechanism of these factors Oil CMP removal rate was analyzed.The optimal CMP process for lithium niobate was gotten·

In this paper,the CMP mechanism of lithium niobate wafer was analyzed.Improving mass transmission is one of the keys to obtain the perfect surface.Chemical reaction is the controlling factor of CMP process,SO the removal rate of CMP can be improved by enhancing chemical reaction.The experiments had been performed under the condition of different pH.abrasive concentration of polishing slurry,polishing pressure and slurry flow.The influence mechanism of these factors Oil CMP removal rate was analyzed.The optimal CMP process for lithium niobate was gotten·
Effect of Mesa Structures on the Responsivities of 4H-SiC Photodetectors
Liu Xingfang, Sun Guosheng, Li Jinmin, Zhao Yongmei, Ning Jin, Wang Lei, Zhao Wanshun, Luo Muchang, Li Jiaye, Zeng Yiping
Chin. J. Semicond.  2007, 28(S1): 579-582
Abstract PDF

Four types of 4H.SiC photodetectors,#1,#2,#3 and#4,with different mesa structures in the area of the opti· cal window have been fabricated and characterized by the photoresponse spectra.The vertical photodetectors were based on 4H.SiC homoepilayers.The optical window of photodetector#1 consisted of a transparent Pt layer and a P+/p/n-layer up, on the n+substate;and that of photodetector#2,#3 and #4 consisted of a P+/p/n-layer,a p/n-layer and a n-layer,respectively.The photoresponse results showed that the best ultraviolet photoresponsiVity was of the photodetector #2,with at least an order of magnitude higher than that of the other three types of photodetectors.It also showed that the wavelength of the peak value varied according to mesa structures,and the wavelengths were 341nm,312nm,305nm and 297nm for photode· tector#1,#2,#3 and #4,respectively.

Four types of 4H.SiC photodetectors,#1,#2,#3 and#4,with different mesa structures in the area of the opti· cal window have been fabricated and characterized by the photoresponse spectra.The vertical photodetectors were based on 4H.SiC homoepilayers.The optical window of photodetector#1 consisted of a transparent Pt layer and a P+/p/n-layer up, on the n+substate;and that of photodetector#2,#3 and #4 consisted of a P+/p/n-layer,a p/n-layer and a n-layer,respectively.The photoresponse results showed that the best ultraviolet photoresponsiVity was of the photodetector #2,with at least an order of magnitude higher than that of the other three types of photodetectors.It also showed that the wavelength of the peak value varied according to mesa structures,and the wavelengths were 341nm,312nm,305nm and 297nm for photode· tector#1,#2,#3 and #4,respectively.
Influence of Neutron Radiation on Performance of Color CMOS Image Sensors
Meng Xiangti, Kang Aiguo, Huang Qiang
Chin. J. Semicond.  2007, 28(S1): 583-587
Abstract PDF

Quality of captured pictures and change of dark output characteristic for the color CMOS image sensors with dif. ferent neutron doses are studied for the first time.After neutron radiation,a lot of spots and stripes Oil dark output images, and white spots as well as bunches of white spots on captured pictures appear,respectively.Quality of captured pictures ear not be obviously improved after annealing at room temperature for a long time.This is very different from those by T-ray ra. diation.The mechanism of radiation damage for CMOS image sensors is discussed.

Quality of captured pictures and change of dark output characteristic for the color CMOS image sensors with dif. ferent neutron doses are studied for the first time.After neutron radiation,a lot of spots and stripes Oil dark output images, and white spots as well as bunches of white spots on captured pictures appear,respectively.Quality of captured pictures ear not be obviously improved after annealing at room temperature for a long time.This is very different from those by T-ray ra. diation.The mechanism of radiation damage for CMOS image sensors is discussed.
Characteristics of Metal-Semiconductor-Metal Photodetectors Based on GaN
Liu Wenbao, Sun Xian, Wang Xiaolan, Zhang Shuang, Liu Zongshun, Zhao Degang, Yang Hui
Chin. J. Semicond.  2007, 28(S1): 588-590
Abstract PDF

Metal-Semiconductor-Metal type(MSM)Dhotodetectors were fabricated on unintentional doped GaN epitaxial films which were grown on sapphire substrate by metal organic chemical vapor deposition(MOCVD).Their characteristics of dark current and photo response were investigated.It was found that the dark current degraded after voltage ageing,and there was an abnormal reverse current under small voltage which can be restored with white light exposure.In addition,a peak photoconductive response around 368tim was observed,and it can be quenched under 808nm laser irradiation.Accord. ing to a trap model the mechanism behind was supposed.

Metal-Semiconductor-Metal type(MSM)Dhotodetectors were fabricated on unintentional doped GaN epitaxial films which were grown on sapphire substrate by metal organic chemical vapor deposition(MOCVD).Their characteristics of dark current and photo response were investigated.It was found that the dark current degraded after voltage ageing,and there was an abnormal reverse current under small voltage which can be restored with white light exposure.In addition,a peak photoconductive response around 368tim was observed,and it can be quenched under 808nm laser irradiation.Accord. ing to a trap model the mechanism behind was supposed.
Film Bulk Acoustic Resonator Based on AlN Piezoelectric Cell
Hu Guang, Zhang Kai, Ye Yun, Wu Wen, Liu Chan, Gu Haoshuang
Chin. J. Semicond.  2007, 28(S1): 591-595
Abstract PDF

Film bulk acoustic resoqator was fabricated in backside air-gap structure using silicon bulk micromachining tech. tuque,with aluminum nitride films as piezoelectric material.Measurement results show that the AlN films deposited under optimized sputtering condition,are featured in (002) preferred orientation and well.textured columnar structure. The fashioned air-gap, characterized by scanning microscope,is confirmed with smooth surface on the back and good anisotropy.The fabricated resonator IS measured using a network analyzer,and finally achieves a resonant frequency of 2.537GHz,effective electromechanical coupling coefficient 3.75%,series quality and parallel quality of 101.8 and 79.7, respectively.

Film bulk acoustic resoqator was fabricated in backside air-gap structure using silicon bulk micromachining tech. tuque,with aluminum nitride films as piezoelectric material.Measurement results show that the AlN films deposited under optimized sputtering condition,are featured in (002) preferred orientation and well.textured columnar structure. The fashioned air-gap, characterized by scanning microscope,is confirmed with smooth surface on the back and good anisotropy.The fabricated resonator IS measured using a network analyzer,and finally achieves a resonant frequency of 2.537GHz,effective electromechanical coupling coefficient 3.75%,series quality and parallel quality of 101.8 and 79.7, respectively.
Preparation and Properties of AlGaN/AIN/GaN HEMTs with Compositionally Step-Graded AIGaN Barrier Layer
Ma Zhiyong, Wang Xiaoliang, Hu Guoxin, Xiao Hongling, Wang Cuimei, Ran Junxue, Li Jianping
Chin. J. Semicond.  2007, 28(S1): 3944-3943
Abstract PDF

:A1GaN/AlN/GaN high electron mobility transistor (HEMT) structures with compositionally step-graded AIGaN barrier layer were grown on sapphire substrates by metalorganic chemical vapor deposition.High crystal quality and good sur‘ face morphology of the HEMT structures are confirmed by triple.crystal X-ray diffraction(TCXRD)and atomic force microscopy(AFM)measurements.The full width at half maximum of the GaN(0002)peak iS 4.567 from the rocking curve. AFM measurements reveal a smooth A1GaN surface with a root-mean-square roughness of 0.159nm for a scan area of 5um× 5tLm.Pendell6sung fringes are observed beside AIGaN(0002)diffraction peaks,indicating good crystalline quality and a coherent interface.

:A1GaN/AlN/GaN high electron mobility transistor (HEMT) structures with compositionally step-graded AIGaN barrier layer were grown on sapphire substrates by metalorganic chemical vapor deposition.High crystal quality and good sur‘ face morphology of the HEMT structures are confirmed by triple.crystal X-ray diffraction(TCXRD)and atomic force microscopy(AFM)measurements.The full width at half maximum of the GaN(0002)peak iS 4.567 from the rocking curve. AFM measurements reveal a smooth A1GaN surface with a root-mean-square roughness of 0.159nm for a scan area of 5um× 5tLm.Pendell6sung fringes are observed beside AIGaN(0002)diffraction peaks,indicating good crystalline quality and a coherent interface.