SEMICONDUCTOR INTEGRATED CIRCUITS

Design and comparison of new fault-tolerant majority gate based on quantum-dot cellular automata

Xiaoyang Wang, Guangjun Xie, Feifei Deng, Yu Quan and Hongjun Lü

+ Author Affiliations

 Corresponding author: Hongjun Lü, Email: lvhongjun1958@sina.com

PDF

Turn off MathJax

Abstract: Quantum-dot cellular automata (QCA) is increasingly valued by researchers because of its nanoscale size and very low power consumption. However, in the manufacture of nanoscale devices prone to various forms of defects, which will affect the subsequent circuits design. Therefore, fault-tolerant QCA architectures have become a new research direction. The purpose of this paper is to build a novel fault-tolerant three-input majority gate based on normal cells. Compared with the previous structures, the majority gate shows high fault tolerance under single-cell and double-cell omission defects. In order to examine the functionality of the proposed structure, some physical proofs under single cell missing defects are provided. Besides, two new fault-tolerant decoders are constructed based on the proposed majority gate. In order to fully demonstrate the performance of the proposed decoder, the previous decoders were thoroughly compared in terms of fault tolerance, area and delay. The result shows that the proposed design has a good fault tolerance characteristic, while the performance in other aspects is also quite good.

Key words: quantum-dot cellular automata (QCA)defectsfault-tolerant three-input majority gatedecoders



[1]
Lent C S, Tougaw P D, Porod W, et al. Quantum-dot cellular automata. Nanotechnology, 1993, 4(1): 49 doi: 10.1088/0957-4484/4/1/004
[2]
Sen B, Sahu Y, Mukherjee R, et al. On the reliability of majority logic structure in quantum-dot cellular automata. Microelectron J, 2016, 47: 7 doi: 10.1016/j.mejo.2015.11.002
[3]
Tougaw P D, Lent C S. Logical devices implemented using quantum cellular automata. J Appl Phys, 1994, 75(3): 1818 doi: 10.1063/1.356375
[4]
Lent C S, Tougaw P D. A device architecture for computing with quantum dots. Proc IEEE, 1997, 85(4): 541 doi: 10.1109/5.573740
[5]
Sen B, Dutta M, Mukherjee R, et al. Towards the design of hybrid QCA tiles targeting high fault tolerance. J Comput Electron, 2015, 15: 429
[6]
Momenzadeh M, Ottavi M, Lombardi F. Modeling QCA defects at molecular-level in combinational circuits. IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems, 2005: 208
[7]
Tougaw P D, Lent C S. Dynamic behavior of quantum cellular automata. J Appl Phys, 1996, 80(8): 4722 doi: 10.1063/1.363455
[8]
Huang J, Momenzadeh M, Lombardi F. Defect tolerance of QCA tiles. Proceedings of the Design Automation and Test in Europe Conference, 2006, 1: 1
[9]
Wei T, Wu K, Karri R, et al. Fault tolerant quantum cellular array (QCA) design using triple modular redundancy with shifted operands. Proceedings of the ASP-DAC, Asia and South Pacific Design Automation Conference, 2005, 2: 1192
[10]
Farazkish R. A new quantum-dot cellular automata fault-tolerant full-adder. J Comput Electron, 2015, 14(2): 506 doi: 10.1007/s10825-015-0668-2
[11]
Huang J, Momenzadeh M, Lombardi F. On the tolerance to manufacturing defects in molecular QCA tiles for processing-by-wire. J Electron Test, 2006, 23(2): 163
[12]
Du H K, Lv H J, Zhong Y Q, et al. Design and analysis of new fault-tolerant majority gate for quantum-dot cellular automata. J Comput Electron, 2016, 15: 1484 doi: 10.1007/s10825-016-0918-y
[13]
Kumar D, Mitra D. Design of a practical fault-tolerant adder in QCA. Microelectron J, 2016, 53: 90 doi: 10.1016/j.mejo.2016.04.004
[14]
Farazkish R. A new quantum-dot cellular automata fault-tolerant five-input majority gate. J Nanopart Res, 2014, 16(2): 2259 doi: 10.1007/s11051-014-2259-8
[15]
Farazkish R, Navi K. New efficient five-input majority gate for quantum-dot cellular automata. J Nanopart Res, 2012, 14(11): 1252 doi: 10.1007/s11051-012-1252-3
[16]
Roohi A, Khademolhosseini H, Sayedsalehi S, et al. A novel architecture for quantum-dot cellular automata multiplexer. International Journal of Computer Science Issues, 2011, 8(6): 55
[17]
Zhou R, Xia X, Wang F, et al. A logic circuit design of 2-4 decoder using quantum cellular automata. J Comput Inform Syst, 2012, 8: 3463
[18]
Banerjee S, Bhattacharya J, Chatterjee R, et al. A novel design of 3 input 8 output decoder using quantum dot cellular automata. IEEE Information Technology, Electronics and Mobile Communication Conference, 2016: 1
[19]
Kianpour M, Sabbaghi-Nadooshan R. A novel modular decoder implementation in quantum-dot cellular automata. International Conference on Nanoscience, Technology and Societal Implications, 2011: 1
[20]
De D, Purkayastha T, Chattopadhyay T. Design of QCA based programmable logic array using decoder. Microelectron J, 2016, 55: 92 doi: 10.1016/j.mejo.2016.06.005
[21]
Makanda K, Jeon J C. Improvement of quantum-dot cellular automata decoder using inverter chain. International Conference on Control and Automation, 2013: 227
Fig. 1.  (a) QCA cells with different polarization values. (b) The three-input majority gate. (c) Inverter gates.

Fig. 2.  (a) Clocking. (b) Cell addition defect. (c) Cell displacement defect. (d) Missing cell defect.

Fig. 3.  Proposed fault-tolerant majority gate.

Fig. 4.  Majority gate with numbered cells.

Fig. 5.  Influence radius.

Fig. 6.  Different arrangement of electrons.

Fig. 7.  Proposed fault-tolerant 2-4 decoder.

Fig. 8.  The bottom layer of the decoder.

Fig. 9.  Simulation result for proposed 2-4 decoder.

Fig. 11.  Fault tolerant comparison of output Y1.

Fig. 12.  Fault tolerant comparison of output Y2.

Fig. 14.  Layout of the 3-8 decoder.

Fig. 15.  Simulation result for proposed 3-8 decoder.

Fig. 10.  Fault tolerant comparison of output Y0.

Fig. 13.  Fault tolerant comparison of output Y3.

Table 2.   Combination of error output under double-cell omission defects.

Cell pair Output Cell pair Output
(2, 3) B (11, 12) C
(2, 6) C (15, 22) $\overline {{\rm{MV(A, B, C)}}} $
(5, 6) C (18, 19) A
(6, 7) C (30, 31) B
(6, 12) C (26, 27) MV(A, B, $\overline {\rm{C}} $ )
(10, 17) MV(A, $\overline {\rm{B}} $ , C) (19, 26) A
(7, 13) C (12, 13) C
(10, 11) C (19, 20) B
DownLoad: CSV

Table 3.   Performance comparison of majority gates under single-cell omission defects.

Function Ref. [11] Ref. [12] Ref. [13] Proposed
Wire function 0 4 2 2
MV-like function 4 2 0 0
MV(A, B, C) 5 9 14 30
Total 9 15 16 32
Percent (%) 55.6 60 87.5 93.8
DownLoad: CSV

Table 4.   Performance comparison of majority gates under double-cell omission defects.

Function Ref. [11] Ref. [12] Ref. [13] Proposed
Wire function 5 13 6 13
MV-like function 5 4 2 3
Undefined 1 1 0 0
MV(A, B, C) 1 4 12 32
Total 12 22 20 48
Percent (%) 8.3 18.2 60.0 66.7
DownLoad: CSV

Table 1.   Output of the proposed majority gate against single-cell omission defect.

Cell Output Cell Output Cell Output Cell Output
1 Correct 9 Correct 17 Correct 25 Correct
2 A 10 Correct 18 Correct 26 Correct
3 Correct 11 Correct 19 Correct 27 Correct
4 Correct 12 C 20 Correct 28 Correct
5 Correct 13 Correct 21 Correct 29 Correct
6 Correct 14 Correct 22 Correct 30 Correct
7 Correct 15 Correct 23 Correct 31 Correct
8 Correct 16 Correct 24 Correct 32 Correct
DownLoad: CSV

Table 5.   Physical verification of 65 nm radius.

Fig. 6(a) (electron x) Fig. 6(a) (electron y)
U1 = \setlength{\voffset}{1pt}$\displaystyle\frac{A}{{{r_1}}} = \frac{{23.04 \times {{10}^{ - 29}}}}{{44.72 \times {{10}^{ - 9}}}}$ ≈ 0.52 × 10−29 (J) U1 = \setlength{\voffset}{1pt}$\displaystyle\frac{A}{{{r_1}}} = \frac{{23.04 \times {{10}^{ - 29}}}}{{43.91 \times {{10}^{ - 9}}}}$ ≈ 0.52 × 10−29 (J)
U2 = \setlength{\voffset}{1pt}$\displaystyle\frac{A}{{{r_2}}} = \frac{{23.04 \times {{10}^{ - 29}}}}{{58.03 \times {{10}^{ - 9}}}}$ ≈ 0.40 × 10−29 (J) U2 = \setlength{\voffset}{1pt}$\displaystyle\frac{A}{{{r_2}}} = \frac{{23.04 \times {{10}^{ - 29}}}}{{44.72 \times {{10}^{ - 9}}}}$ ≈ 0.52 × 10−29 (J)
U3 = \setlength{\voffset}{1pt}$\displaystyle\frac{A}{{{r_3}}} = \frac{{23.04 \times {{10}^{ - 29}}}}{{40 \times {{10}^{ - 9}}}}$ ≈ 0.58 × 10−29 (J) U3 = \setlength{\voffset}{1pt}$\displaystyle\frac{A}{{{r_3}}} = \frac{{23.04 \times {{10}^{ - 29}}}}{{23.42 \times {{10}^{ - 9}}}}$ ≈ 0.81 × 10−29 (J)
U4 = \setlength{\voffset}{1pt}$\displaystyle\frac{A}{{{r_4}}} = \frac{{23.04 \times {{10}^{ - 29}}}}{{60.73 \times {{10}^{ - 9}}}}$ ≈ 0.38 × 10−29 (J) U4 = \setlength{\voffset}{1pt}$\displaystyle\frac{A}{{{r_4}}} = \frac{{23.04 \times {{10}^{ - 29}}}}{{40 \times {{10}^{ - 9}}}}$ ≈ 0.58 × 10−29 (J)
U5 = \setlength{\voffset}{1pt}$\displaystyle\frac{A}{{{r_5}}} = \frac{{23.04 \times {{10}^{ - 29}}}}{{28.28 \times {{10}^{ - 9}}}}$ ≈ 0.81 × 10−29 (J) U5 = \setlength{\voffset}{1pt}$\displaystyle\frac{A}{{{r_5}}} = \frac{{23.04 \times {{10}^{ - 29}}}}{{38.05 \times {{10}^{ - 9}}}}$ ≈ 0.61 × 10−29 (J)
U6 = \setlength{\voffset}{1pt}$\displaystyle\frac{A}{{{r_6}}} = \frac{{23.04 \times {{10}^{ - 29}}}}{{38.05 \times {{10}^{ - 9}}}}$ ≈ 0.61 × 10−29 (J) U6 = \setlength{\voffset}{1pt}$\displaystyle\frac{A}{{{r_6}}} = \frac{{23.04 \times {{10}^{ - 29}}}}{{28.28 \times {{10}^{ - 9}}}}$ ≈ 0.81 × 10−29 (J)
U7 = \setlength{\voffset}{1pt}$\displaystyle\frac{A}{{{r_7}}} = \frac{{23.04 \times {{10}^{ - 29}}}}{{44.72 \times {{10}^{ - 9}}}}$ ≈ 0.52 × 10−29 (J) U7 = \setlength{\voffset}{1pt}$\displaystyle\frac{A}{{{r_7}}} = \frac{{23.04 \times {{10}^{ - 29}}}}{{22.09 \times {{10}^{ - 9}}}}$ ≈ 1.04 × 10−29 (J)
U8 = \setlength{\voffset}{1pt}$\displaystyle\frac{A}{{{r_8}}} = \frac{{23.04 \times {{10}^{ - 29}}}}{{69.34 \times {{10}^{ - 9}}}}$ ≈ 0.33 × 10−29 (J) U8 = \setlength{\voffset}{1pt}$\displaystyle\frac{A}{{{r_8}}} = \frac{{23.04 \times {{10}^{ - 29}}}}{{69.34 \times {{10}^{ - 9}}}}$ ≈ 0.33 × 10−29 (J)
U9 = \setlength{\voffset}{1pt}$\displaystyle\frac{A}{{{r_9}}} = \frac{{23.04 \times {{10}^{ - 29}}}}{{56.57 \times {{10}^{ - 9}}}}$ ≈ 0.41 × 10−29 (J) U9 = \setlength{\voffset}{1pt}$\displaystyle\frac{A}{{{r_9}}} = \frac{{23.04 \times {{10}^{ - 29}}}}{{31.11 \times {{10}^{ - 9}}}}$ ≈ 0.74 × 10−29 (J)
U10 = \setlength{\voffset}{1pt}$\displaystyle\frac{A}{{{r_{10}}}} = \frac{{23.04 \times {{10}^{ - 29}}}}{{82.08 \times {{10}^{ - 9}}}}$ ≈ 0.28 × 10−29 (J) U10 = \setlength{\voffset}{1pt}$\displaystyle\frac{A}{{{r_{10}}}} = \frac{{23.04 \times {{10}^{ - 29}}}}{{56.57 \times {{10}^{ - 9}}}}$ ≈ 0.41 × 10−29 (J)
U11 = \setlength{\voffset}{1pt}$\displaystyle\frac{A}{{{r_{11}}}} = \frac{{23.04 \times {{10}^{ - 29}}}}{{44.72 \times {{10}^{ - 9}}}}$ ≈ 0.52 × 10−29 (J) U11 = \setlength{\voffset}{1pt}$\displaystyle\frac{A}{{{r_{11}}}} = \frac{{23.04 \times {{10}^{ - 29}}}}{{22.09 \times {{10}^{ - 9}}}}$ ≈ 1.04 × 10−29 (J)
U12 = \setlength{\voffset}{1pt}$\displaystyle\frac{A}{{{r_{12}}}} = \frac{{23.04 \times {{10}^{ - 29}}}}{{69.34 \times {{10}^{ - 9}}}}$ ≈ 0.33 × 10−29 (J) U12 = \setlength{\voffset}{1pt}$\displaystyle\frac{A}{{{r_{12}}}} = \frac{{23.04 \times {{10}^{ - 29}}}}{{44.72 \times {{10}^{ - 9}}}}$ ≈ 0.52 × 10−29 (J)
U13 = \setlength{\voffset}{1pt}$\displaystyle\frac{A}{{{r_{13}}}} = \frac{{23.04 \times {{10}^{ - 29}}}}{{28.28 \times {{10}^{ - 9}}}}$ ≈ 0.81 × 10−29 (J) U13 = \setlength{\voffset}{1pt}$\displaystyle\frac{A}{{{r_{13}}}} = \frac{{23.04 \times {{10}^{ - 29}}}}{{2.83 \times {{10}^{ - 9}}}}$ ≈ 8.14 × 10−29 (J)
U14 = \setlength{\voffset}{1pt}$\displaystyle\frac{A}{{{r_{14}}}} = \frac{{23.04 \times {{10}^{ - 29}}}}{{53.74 \times {{10}^{ - 9}}}}$ ≈ 0.43 × 10−29 (J) U14 = \setlength{\voffset}{1pt}$\displaystyle\frac{A}{{{r_{14}}}} = \frac{{23.04 \times {{10}^{ - 29}}}}{{28.28 \times {{10}^{ - 9}}}}$ ≈ 0.81 × 10−29 (J)
U15 = \setlength{\voffset}{1pt}$\displaystyle\frac{A}{{{r_{15}}}} = \frac{{23.04 \times {{10}^{ - 29}}}}{{20 \times {{10}^{ - 9}}}}$ ≈ 1.15 × 10−29 (J) U15 = \setlength{\voffset}{1pt}$\displaystyle\frac{A}{{{r_{15}}}} = \frac{{23.04 \times {{10}^{ - 29}}}}{{18.11 \times {{10}^{ - 9}}}}$ ≈ 1.27 × 10−29 (J)
U16 = \setlength{\voffset}{1pt}$\displaystyle\frac{A}{{{r_{16}}}} = \frac{{23.04 \times {{10}^{ - 29}}}}{{42.05 \times {{10}^{ - 9}}}}$ ≈ 0.55 × 10−29 (J) U16 = \setlength{\voffset}{1pt}$\displaystyle\frac{A}{{{r_{16}}}} = \frac{{23.04 \times {{10}^{ - 29}}}}{{20 \times {{10}^{ - 9}}}}$ ≈ 1.15 × 10−29 (J)
U17 = \setlength{\voffset}{1pt}$\displaystyle\frac{A}{{{r_{17}}}} = \frac{{23.04 \times {{10}^{ - 29}}}}{{60 \times {{10}^{ - 9}}}}$ ≈ 0.38 × 10−29 (J) U17 = \setlength{\voffset}{1pt}$\displaystyle\frac{A}{{{r_{17}}}} = \frac{{23.04 \times {{10}^{ - 29}}}}{{45.69 \times {{10}^{ - 9}}}}$ ≈ 0.50 × 10−29 (J)
U18 = \setlength{\voffset}{1pt}$\displaystyle\frac{A}{{{r_{18}}}} = \frac{{23.04 \times {{10}^{ - 29}}}}{{80.05 \times {{10}^{ - 9}}}}$ ≈ 0.29 × 10−29 (J) U18 = \setlength{\voffset}{1pt}$\displaystyle\frac{A}{{{r_{18}}}} = \frac{{23.04 \times {{10}^{ - 29}}}}{{60 \times {{10}^{ - 9}}}}$ ≈ 0.38 × 10−29 (J)
UT11 = \setlength{\voffset}{1pt}$\displaystyle\sum\limits_{i = 1}^{18} {{U_i}} $ = 9.3 × 10−20 (J) UT12 = \setlength{\voffset}{1pt}$\displaystyle\sum\limits_{i = 1}^{18} {{U_i}} $ = 20.18 × 10−20 (J)
DownLoad: CSV

Table 6.   Comparison of 2-4 decoders.

Parameter Proposed Ref. [17] Ref. [18] Ref. [19] Ref. [20] Ref. [21]
Fault tolerance (%) Y0 93.10 82.17 90.48 69.32 76.19 81.37
Y1 99.13 66.67 77.80 76.14 76.19 77.06
Y2 96.52 64.34 68.25 78.69 80.95 59.08
Y3 98.26 87.60 76.19 85.23 66.67 49.02
Delay (clock phases) 0.75 1.25 0.75 1.75 1 0.75
Area (μm2) 0.13 0.16 0.10 0.47 0.11 0.14
DownLoad: CSV
[1]
Lent C S, Tougaw P D, Porod W, et al. Quantum-dot cellular automata. Nanotechnology, 1993, 4(1): 49 doi: 10.1088/0957-4484/4/1/004
[2]
Sen B, Sahu Y, Mukherjee R, et al. On the reliability of majority logic structure in quantum-dot cellular automata. Microelectron J, 2016, 47: 7 doi: 10.1016/j.mejo.2015.11.002
[3]
Tougaw P D, Lent C S. Logical devices implemented using quantum cellular automata. J Appl Phys, 1994, 75(3): 1818 doi: 10.1063/1.356375
[4]
Lent C S, Tougaw P D. A device architecture for computing with quantum dots. Proc IEEE, 1997, 85(4): 541 doi: 10.1109/5.573740
[5]
Sen B, Dutta M, Mukherjee R, et al. Towards the design of hybrid QCA tiles targeting high fault tolerance. J Comput Electron, 2015, 15: 429
[6]
Momenzadeh M, Ottavi M, Lombardi F. Modeling QCA defects at molecular-level in combinational circuits. IEEE International Symposium on Defect and Fault Tolerance in VLSI Systems, 2005: 208
[7]
Tougaw P D, Lent C S. Dynamic behavior of quantum cellular automata. J Appl Phys, 1996, 80(8): 4722 doi: 10.1063/1.363455
[8]
Huang J, Momenzadeh M, Lombardi F. Defect tolerance of QCA tiles. Proceedings of the Design Automation and Test in Europe Conference, 2006, 1: 1
[9]
Wei T, Wu K, Karri R, et al. Fault tolerant quantum cellular array (QCA) design using triple modular redundancy with shifted operands. Proceedings of the ASP-DAC, Asia and South Pacific Design Automation Conference, 2005, 2: 1192
[10]
Farazkish R. A new quantum-dot cellular automata fault-tolerant full-adder. J Comput Electron, 2015, 14(2): 506 doi: 10.1007/s10825-015-0668-2
[11]
Huang J, Momenzadeh M, Lombardi F. On the tolerance to manufacturing defects in molecular QCA tiles for processing-by-wire. J Electron Test, 2006, 23(2): 163
[12]
Du H K, Lv H J, Zhong Y Q, et al. Design and analysis of new fault-tolerant majority gate for quantum-dot cellular automata. J Comput Electron, 2016, 15: 1484 doi: 10.1007/s10825-016-0918-y
[13]
Kumar D, Mitra D. Design of a practical fault-tolerant adder in QCA. Microelectron J, 2016, 53: 90 doi: 10.1016/j.mejo.2016.04.004
[14]
Farazkish R. A new quantum-dot cellular automata fault-tolerant five-input majority gate. J Nanopart Res, 2014, 16(2): 2259 doi: 10.1007/s11051-014-2259-8
[15]
Farazkish R, Navi K. New efficient five-input majority gate for quantum-dot cellular automata. J Nanopart Res, 2012, 14(11): 1252 doi: 10.1007/s11051-012-1252-3
[16]
Roohi A, Khademolhosseini H, Sayedsalehi S, et al. A novel architecture for quantum-dot cellular automata multiplexer. International Journal of Computer Science Issues, 2011, 8(6): 55
[17]
Zhou R, Xia X, Wang F, et al. A logic circuit design of 2-4 decoder using quantum cellular automata. J Comput Inform Syst, 2012, 8: 3463
[18]
Banerjee S, Bhattacharya J, Chatterjee R, et al. A novel design of 3 input 8 output decoder using quantum dot cellular automata. IEEE Information Technology, Electronics and Mobile Communication Conference, 2016: 1
[19]
Kianpour M, Sabbaghi-Nadooshan R. A novel modular decoder implementation in quantum-dot cellular automata. International Conference on Nanoscience, Technology and Societal Implications, 2011: 1
[20]
De D, Purkayastha T, Chattopadhyay T. Design of QCA based programmable logic array using decoder. Microelectron J, 2016, 55: 92 doi: 10.1016/j.mejo.2016.06.005
[21]
Makanda K, Jeon J C. Improvement of quantum-dot cellular automata decoder using inverter chain. International Conference on Control and Automation, 2013: 227
  • Search

    Advanced Search >>

    GET CITATION

    shu

    Export: BibTex EndNote

    Article Metrics

    Article views: 4544 Times PDF downloads: 106 Times Cited by: 0 Times

    History

    Received: 29 July 2017 Revised: Online: Uncorrected proof: 12 April 2018Accepted Manuscript: 12 April 2018Published: 09 August 2018

    Catalog

      Email This Article

      User name:
      Email:*请输入正确邮箱
      Code:*验证码错误
      Xiaoyang Wang, Guangjun Xie, Feifei Deng, Yu Quan, Hongjun Lü. Design and comparison of new fault-tolerant majority gate based on quantum-dot cellular automata[J]. Journal of Semiconductors, 2018, 39(8): 085001. doi: 10.1088/1674-4926/39/8/085001 X Y Wang, G J Xie, F F Deng, Y Quan, H Lü, Design and comparison of new fault-tolerant majority gate based on quantum-dot cellular automata[J]. J. Semicond., 2018, 39(8): 085001. doi: 10.1088/1674-4926/39/8/085001.Export: BibTex EndNote
      Citation:
      Xiaoyang Wang, Guangjun Xie, Feifei Deng, Yu Quan, Hongjun Lü. Design and comparison of new fault-tolerant majority gate based on quantum-dot cellular automata[J]. Journal of Semiconductors, 2018, 39(8): 085001. doi: 10.1088/1674-4926/39/8/085001

      X Y Wang, G J Xie, F F Deng, Y Quan, H Lü, Design and comparison of new fault-tolerant majority gate based on quantum-dot cellular automata[J]. J. Semicond., 2018, 39(8): 085001. doi: 10.1088/1674-4926/39/8/085001.
      Export: BibTex EndNote

      Design and comparison of new fault-tolerant majority gate based on quantum-dot cellular automata

      doi: 10.1088/1674-4926/39/8/085001
      Funds:

      Project supported by the National Natural Science Foundation of China (No. 61271122).

      More Information

      Catalog

        /

        DownLoad:  Full-Size Img  PowerPoint
        Return
        Return