SEMICONDUCTOR INTEGRATED CIRCUITS

A new circuit for at-speed scan SoC testing

Wei Lin and Wenlong Shi

+ Author Affiliations

 Corresponding author: Lin Wei, mqks@fzu.edu.cn; Shi Wenlong, lsqswl@qq.com

PDF

Abstract: It is very important to detect transition-delay faults and stuck-at faults in system on chip (SoC) under 90 nm processing technology, and the transition-delay faults can only be detected by using an at-speed testing method. In this paper, an on-chip clock (OCC) controller with a bypass function based on an internal phase-locked loop is designed to test faults in SoC. Furthermore, a clock chain logic which can eliminate the metastable state is realized to generate an enable signal for the OCC controller, and then, the test pattern is generated by automatic test pattern generation (ATPG) tools. Next, the scan test pattern is simulated by using the Synopsys tool and the correctness of the design is verified. The result shows that the design of an at-speed scan test in this paper is highly efficient for detecting timing-related defects. Finally, the 89.29% transition-delay fault coverage and the 94.50% stuck-at fault coverage are achieved, and it is successfully applied to an integrated circuit design.

Key words: at-speed scan teston-chip clocktransition-delay faultsphase-locked loop



[1]
Nadeau-Dostie B. Design for at-speed test, diagnosis and measurement. New York:Kluwer Academic Publishers, 2002 https://link.springer.com/content/pdf/bfm%3A978-0-306-47544-3%2F1.pdf
[2]
Yoneda T, Hori K, Inoue M, et al. Faster-than-at-speed test for increased test quality and in-field reliability. IEEE International Test Conference, 2011:1 http://ieeexplore.ieee.org/document/6139131/?reload=true&arnumber=6139131&contentType=Conference%20Publications
[3]
Iyengar V, Yokota T, Yamada K, et al. At-speed structural test for high-performance ASICs. IEEE International Test Conference, 2006:1 http://ieeexplore.ieee.org/document/4079364/
[4]
Pomeranz I, Reddy S M. Unspecified transition faults:a transition fault model for at-speed fault simulation and test generation. Computer-Aided Design of Integrated Circuits and Systems, 2008, 27:137 doi: 10.1109/TCAD.2007.907000
[5]
Cho K Y, Srinivasan R. A scan cell architecture for inter-clock at-speed delay testing. 29th IEEE VLSI Test Symposium, 2011:213 http://ieeexplore.ieee.org/xpl/abstractKeywords.jsp?reload=true&arnumber=5783723&
[6]
Liang C, Wu M, Huang J. Power supply noise reduction in broadcast-based compression environment for at-speed scan testing. 19th IEEE Asian Test Symposium, 2010:361
[7]
Pant P, Zelman J, Colon-Bonet G, et al. Lessons from at-speed scan deplaoment on an Intel itanium microprocessor. IEEE International Test Conference, 2010 http://ieeexplore.ieee.org/document/5699256/?arnumber=5699256&filter%3DAND(p_IS_Number:5699173)
[8]
Zeng J, Mateja M A, Wang J, et al. Scan-based speed-path debug for a microprocessor. IEEE Design & Test Computers, 2012, 29(4):92 http://ieeexplore.ieee.org/document/5512756/
[9]
Fan X, Hu Y, Wang L. An on-chip test clock control scheme for multi-clock at-speed testing. 16th IEEE Asian Test Symposium, 2007:341
[10]
Wen X, Enokimoto K, Miyase K, et al. Power-aware test generation with guaranteed launch safety for at-speed scan testing. 29th IEEE VLSI Test Symposium, 2011:166 http://ieeexplore.ieee.org/abstract/document/5783778
[11]
Moghaddam E K, Rajski J, Reddy S M, et al. Low test data volume low power at-speed delay tests using clock-gating. Asian Test Symposium, 2011:267 http://ieeexplore.ieee.org/document/6114500/
[12]
Huang Y, Lin X. Programmable logic BIST for at-speed test. 16th IEEE Asian Test Symposium, 2007:295 https://www.computer.org/csdl/proceedings/ats/2007/2890/00/28900295.pdf
[13]
Moghaddam E K, Rajski J, Reddy S M. At-speed scan test with low switching activity. 28th IEEE VLSI Test Symposium, 2010:177 http://ieeexplore.ieee.org/document/5469580/
[14]
Pant P, Skeels E. Hardware hooks for transition scan characterization. IEEE International Test Conference, 2011 http://ieeexplore.ieee.org/document/6139166/keywords
[15]
Shi W, Lin W. DFT for the shadow logic of embedded memory in SOC. Chinese Journal of Electron Devices, 2012, 35:317 http://en.cnki.com.cn/Article_en/CJFDTOTAL-DZQJ201203016.htm
[16]
Pomeranz I. Reduced power transition fault test sets for circuits with independent scan chain modes. IEEE Trans Very Large Scale Integration (VLSI) Syst, 2013, 21(7):1354 doi: 10.1109/TVLSI.2012.2207137
Fig. 1.  At-speed transition test timing.

Fig. 2.  The design architecture of at-speed scan test.

Fig. 3.  The structure of the OCC controller.

Fig. 4.  The logics of the clock chain.

Fig. 5.  The asynchronous clock domain and its metastable waveform.

Fig. 6.  Two flip-flop synchronizer.

Fig. 7.  At-speed scan test patterns simulation.

Fig. 8.  The stuck-at test patterns simulation.

Fig. 9.  The applied at-speed scan technology test board.

Table 1.   Coverage of the transition-delay and the stuck-at faults.

[1]
Nadeau-Dostie B. Design for at-speed test, diagnosis and measurement. New York:Kluwer Academic Publishers, 2002 https://link.springer.com/content/pdf/bfm%3A978-0-306-47544-3%2F1.pdf
[2]
Yoneda T, Hori K, Inoue M, et al. Faster-than-at-speed test for increased test quality and in-field reliability. IEEE International Test Conference, 2011:1 http://ieeexplore.ieee.org/document/6139131/?reload=true&arnumber=6139131&contentType=Conference%20Publications
[3]
Iyengar V, Yokota T, Yamada K, et al. At-speed structural test for high-performance ASICs. IEEE International Test Conference, 2006:1 http://ieeexplore.ieee.org/document/4079364/
[4]
Pomeranz I, Reddy S M. Unspecified transition faults:a transition fault model for at-speed fault simulation and test generation. Computer-Aided Design of Integrated Circuits and Systems, 2008, 27:137 doi: 10.1109/TCAD.2007.907000
[5]
Cho K Y, Srinivasan R. A scan cell architecture for inter-clock at-speed delay testing. 29th IEEE VLSI Test Symposium, 2011:213 http://ieeexplore.ieee.org/xpl/abstractKeywords.jsp?reload=true&arnumber=5783723&
[6]
Liang C, Wu M, Huang J. Power supply noise reduction in broadcast-based compression environment for at-speed scan testing. 19th IEEE Asian Test Symposium, 2010:361
[7]
Pant P, Zelman J, Colon-Bonet G, et al. Lessons from at-speed scan deplaoment on an Intel itanium microprocessor. IEEE International Test Conference, 2010 http://ieeexplore.ieee.org/document/5699256/?arnumber=5699256&filter%3DAND(p_IS_Number:5699173)
[8]
Zeng J, Mateja M A, Wang J, et al. Scan-based speed-path debug for a microprocessor. IEEE Design & Test Computers, 2012, 29(4):92 http://ieeexplore.ieee.org/document/5512756/
[9]
Fan X, Hu Y, Wang L. An on-chip test clock control scheme for multi-clock at-speed testing. 16th IEEE Asian Test Symposium, 2007:341
[10]
Wen X, Enokimoto K, Miyase K, et al. Power-aware test generation with guaranteed launch safety for at-speed scan testing. 29th IEEE VLSI Test Symposium, 2011:166 http://ieeexplore.ieee.org/abstract/document/5783778
[11]
Moghaddam E K, Rajski J, Reddy S M, et al. Low test data volume low power at-speed delay tests using clock-gating. Asian Test Symposium, 2011:267 http://ieeexplore.ieee.org/document/6114500/
[12]
Huang Y, Lin X. Programmable logic BIST for at-speed test. 16th IEEE Asian Test Symposium, 2007:295 https://www.computer.org/csdl/proceedings/ats/2007/2890/00/28900295.pdf
[13]
Moghaddam E K, Rajski J, Reddy S M. At-speed scan test with low switching activity. 28th IEEE VLSI Test Symposium, 2010:177 http://ieeexplore.ieee.org/document/5469580/
[14]
Pant P, Skeels E. Hardware hooks for transition scan characterization. IEEE International Test Conference, 2011 http://ieeexplore.ieee.org/document/6139166/keywords
[15]
Shi W, Lin W. DFT for the shadow logic of embedded memory in SOC. Chinese Journal of Electron Devices, 2012, 35:317 http://en.cnki.com.cn/Article_en/CJFDTOTAL-DZQJ201203016.htm
[16]
Pomeranz I. Reduced power transition fault test sets for circuits with independent scan chain modes. IEEE Trans Very Large Scale Integration (VLSI) Syst, 2013, 21(7):1354 doi: 10.1109/TVLSI.2012.2207137
  • Search

    Advanced Search >>

    GET CITATION

    shu

    Export: BibTex EndNote

    Article Metrics

    Article views: 2862 Times PDF downloads: 32 Times Cited by: 0 Times

    History

    Received: 26 January 2013 Revised: 08 July 2013 Online: Published: 01 December 2013

    Catalog

      Email This Article

      User name:
      Email:*请输入正确邮箱
      Code:*验证码错误
      Wei Lin, Wenlong Shi. A new circuit for at-speed scan SoC testing[J]. Journal of Semiconductors, 2013, 34(12): 125012. doi: 10.1088/1674-4926/34/12/125012 W Lin, W L Shi. A new circuit for at-speed scan SoC testing[J]. J. Semicond., 2013, 34(12): 125012. doi: 10.1088/1674-4926/34/12/125012.Export: BibTex EndNote
      Citation:
      Wei Lin, Wenlong Shi. A new circuit for at-speed scan SoC testing[J]. Journal of Semiconductors, 2013, 34(12): 125012. doi: 10.1088/1674-4926/34/12/125012

      W Lin, W L Shi. A new circuit for at-speed scan SoC testing[J]. J. Semicond., 2013, 34(12): 125012. doi: 10.1088/1674-4926/34/12/125012.
      Export: BibTex EndNote

      A new circuit for at-speed scan SoC testing

      doi: 10.1088/1674-4926/34/12/125012
      Funds:

      the Key Project Science and Technology Cooperation of Fujian Province, China 2013I0003

      Project supported by the Key Project Science and Technology Cooperation of Fujian Province, China (No. 2013I0003)

      More Information
      • Corresponding author: Lin Wei, mqks@fzu.edu.cn; Shi Wenlong, lsqswl@qq.com
      • Received Date: 2013-01-26
      • Revised Date: 2013-07-08
      • Published Date: 2013-12-01

      Catalog

        /

        DownLoad:  Full-Size Img  PowerPoint
        Return
        Return