Issue Browser
Volume 26, Issue S1, Dec 2005
CONTENTS
Strain State of AlGaN
Zhang Jicai, Wang Jianfeng, Wang Yutian, Yang Hui
Chin. J. Semicond.  2005, 26(S1): 1-4
Abstract PDF

The strain state of AlxGa1-xN layers grown on GaN template is studied by Rutherford backscattering (RBS)/channeling and triple-axis X-ray diffraction measurements.The results show that the coherent factor of AlxGa1-xN layers increases almost linearly when x≤0.42 and reaches to 30% when x=0.42.Above 0.42,the value varies slowly and equals to 0 when x=1(AlN).In this work the underlying GaN layer is in compressive strain,which results in the reduction of lattice misfit between GaN and AlxGa1-xN,and a AlxGa1-xN layer with the composition of about 0.16 might be grown on GaN coherently.

The strain state of AlxGa1-xN layers grown on GaN template is studied by Rutherford backscattering (RBS)/channeling and triple-axis X-ray diffraction measurements.The results show that the coherent factor of AlxGa1-xN layers increases almost linearly when x≤0.42 and reaches to 30% when x=0.42.Above 0.42,the value varies slowly and equals to 0 when x=1(AlN).In this work the underlying GaN layer is in compressive strain,which results in the reduction of lattice misfit between GaN and AlxGa1-xN,and a AlxGa1-xN layer with the composition of about 0.16 might be grown on GaN coherently.
Infrared Spectrum of GaN1-xPxTernary Alloy
Chen Dunjun, Shen Bo, Xu Fujun, Tao Yaqi, Zhao Hong, Zhang Rong, Zheng Youdou
Chin. J. Semicond.  2005, 26(S1): 5-8
Abstract PDF

Infrared reflectivity measurements of GaN1-xPx alloys and quantitative fittings using the dielectric function composed of two phonon oscillators and a carrier contribution are carried out.For the GaN1-xPx alloys,there are two competitive mechanisms that influence the carrier concentration.The one is from the effect of the isoelectronic traps which decrease the carrier concentration while the other is from the effect of defects which increase the carrier concentration.The calculated results of imaginary part of the reciprocal dielectric function of GaN and GaN1-xPx alloys show that the longitudinal optical phonon-plasmon (LPP) modes shift to high frequency direction and its linewidth gradually broadens with increasing carrier concentration in GaN1-xPx,indicating that the coupling of LPP modes gradually enhances and damping of LPP modes gradually augments.

Infrared reflectivity measurements of GaN1-xPx alloys and quantitative fittings using the dielectric function composed of two phonon oscillators and a carrier contribution are carried out.For the GaN1-xPx alloys,there are two competitive mechanisms that influence the carrier concentration.The one is from the effect of the isoelectronic traps which decrease the carrier concentration while the other is from the effect of defects which increase the carrier concentration.The calculated results of imaginary part of the reciprocal dielectric function of GaN and GaN1-xPx alloys show that the longitudinal optical phonon-plasmon (LPP) modes shift to high frequency direction and its linewidth gradually broadens with increasing carrier concentration in GaN1-xPx,indicating that the coupling of LPP modes gradually enhances and damping of LPP modes gradually augments.
THz Emission Spectra Based on Different Compound Semiconductors
Zhao Guozhong, Zhang Zhenwei, Cui Weili, Zhang Cunlin
Chin. J. Semicond.  2005, 26(S1): 9-12
Abstract PDF

A THz generation and detection system using the reflection-type THz radiation equipment is presented.The THz emission spectra based on different compound semiconductors are shown.By means of fast Fourier transformation,the frequency domain spectra of THz emission by different semiconductors are obtained from their time domain spectra.THz emission properties of different semiconductors are compared.The results show that the undoped InAs is a kind of more effective THz emission material than those of other studied semiconductors.

A THz generation and detection system using the reflection-type THz radiation equipment is presented.The THz emission spectra based on different compound semiconductors are shown.By means of fast Fourier transformation,the frequency domain spectra of THz emission by different semiconductors are obtained from their time domain spectra.THz emission properties of different semiconductors are compared.The results show that the undoped InAs is a kind of more effective THz emission material than those of other studied semiconductors.
Nonlinear Absorption of Bi2S3 and NiS Semiconductor Nano-Particles
Zhang Yundong, Zhu Junjie, Zhang Yunjun, Yuan Ping, Sun Xutao
Chin. J. Semicond.  2005, 26(S1): 13-15
Abstract PDF

The optical limiting behavior and nonlinear optical response of nanoparticles,Bi2S3 and NiS are measured.Their nonlinear absorption coefficients are β≈9cm/GW and β≈8cm/GW by simulation,respectively.

The optical limiting behavior and nonlinear optical response of nanoparticles,Bi2S3 and NiS are measured.Their nonlinear absorption coefficients are β≈9cm/GW and β≈8cm/GW by simulation,respectively.
Effect of V/III Flux Ratio and Growth Temperature on Indium Droplet Formation During RF-MBE Growth of InN
Xiao Hongling, Wang Xiaoliang, Han Qin, Wang Junxi, Zhang Nanhong, Xu Yingqiang, Liu Hongxin, Zeng Yiping, Li Jinmin, Wu Ronghan
Chin. J. Semicond.  2005, 26(S1): 16-19
Abstract PDF

Due to the low dissociation temperature and high equilibrium vapor pressure of nitrogen,the preparation of indium nitride (InN) epilayers is very difficult.Especially,Indium (In) droplets formation during epitaxial growth of InN films is a serious problem for achieving high quality films.In this paper,the effect of both V/III flux ratio and growth temperature on the formation of indium droplets and the surface morphology of the InN films is studied by radio-frequency plasma-assisted molecular beam epitaxy (RF-MBE).It is found that the density of indium droplets decreases with increasing in V/III flux ratio and growth temperature.The possible mechanism for In droplets formation was suggested based on these experiments.Finally,single crystal InN films without In droplets on the surface are achieved by optimizing the V/III flux ratio and growth temperature.

Due to the low dissociation temperature and high equilibrium vapor pressure of nitrogen,the preparation of indium nitride (InN) epilayers is very difficult.Especially,Indium (In) droplets formation during epitaxial growth of InN films is a serious problem for achieving high quality films.In this paper,the effect of both V/III flux ratio and growth temperature on the formation of indium droplets and the surface morphology of the InN films is studied by radio-frequency plasma-assisted molecular beam epitaxy (RF-MBE).It is found that the density of indium droplets decreases with increasing in V/III flux ratio and growth temperature.The possible mechanism for In droplets formation was suggested based on these experiments.Finally,single crystal InN films without In droplets on the surface are achieved by optimizing the V/III flux ratio and growth temperature.
Modulated Photoluminescence of Ge Quantum Dots Grown on SOI Substrate
Li Chuanbo, Mao Rongwei, Zuo Yuhua, Cheng Buwen, Yu Jinzhong, Wang Qiming
Chin. J. Semicond.  2005, 26(S1): 20-23
Abstract PDF

Modulated photoluminescence(PL) of the Ge/Si quantum dots grown on SOI substrates is investigated.The PL spectrum presents multi-peak with different adjacent interval.With the increase of the wavelength,the interval increase.Simulation result indicates that the cavity formed by the mirrors at the surface and the buried SiO2 interface has a modulation effect on the luminescence.And the independence of the peak position on the exciting power also suggests this cavity effect.

Modulated photoluminescence(PL) of the Ge/Si quantum dots grown on SOI substrates is investigated.The PL spectrum presents multi-peak with different adjacent interval.With the increase of the wavelength,the interval increase.Simulation result indicates that the cavity formed by the mirrors at the surface and the buried SiO2 interface has a modulation effect on the luminescence.And the independence of the peak position on the exciting power also suggests this cavity effect.
High Dose Mn Implanted GaAs
Song Shulin, Chen Nuofu, Chai Chunlin, Yin Zhigang, Yang Shaoyan, Liu Zhikai
Chin. J. Semicond.  2005, 26(S1): 24-27
Abstract PDF

High dose Mn ions are implanted into semi-insulating GaAs substrate at room temperature by low energy ion beam deposit technique.All the samples are annealed at different conditions.There are more new phase peaks formed after high temperature annealing.It is shown that the FWHM of (004) peak broadens from rocking curve results so more Mn ions entered crystal lattice.From the comparison of two samples’ AFM images,the roughness of the sample surface increases after annealing.Magnetization increases after annealing from AGM results.

High dose Mn ions are implanted into semi-insulating GaAs substrate at room temperature by low energy ion beam deposit technique.All the samples are annealed at different conditions.There are more new phase peaks formed after high temperature annealing.It is shown that the FWHM of (004) peak broadens from rocking curve results so more Mn ions entered crystal lattice.From the comparison of two samples’ AFM images,the roughness of the sample surface increases after annealing.Magnetization increases after annealing from AGM results.
TEM Analysis on GaN/AlGaN SLS
Chen Weihua, Hu Xiaodong, Zhang Bei, Li Zilan, Pan Yaobo, Hu Chengyu, Wang Qi, Lu Yu, Lu Min, Yang Zhijian, Zhang Guoyi
Chin. J. Semicond.  2005, 26(S1): 28-31
Abstract PDF

120 periods of GaN/Al0.14Ga0.86N superlattice structure (SLS) are grown by metal-organic chemical vapor deposition(MOCVD) on Al2O3(0001) single crystal substrates.The technology of laser lift-off is effectively used to prepare specimens for cross-section TEM.Transmission electron microscopy (TEM) reveals the good quality of undoped AlGaN/GaN SLS,and the periods of crystal lattice as well.Electron diffraction also shows the good quality of AlGaN/GaN SLS.In TEM images we find the assemble phenomenon of Al atoms or Ga atoms.These areas would induce new dislocations if the stress reaches the critical value.Most of the thread dislocations in GaN buffer layer shape like arcs,resulting in epitaxial growth.

120 periods of GaN/Al0.14Ga0.86N superlattice structure (SLS) are grown by metal-organic chemical vapor deposition(MOCVD) on Al2O3(0001) single crystal substrates.The technology of laser lift-off is effectively used to prepare specimens for cross-section TEM.Transmission electron microscopy (TEM) reveals the good quality of undoped AlGaN/GaN SLS,and the periods of crystal lattice as well.Electron diffraction also shows the good quality of AlGaN/GaN SLS.In TEM images we find the assemble phenomenon of Al atoms or Ga atoms.These areas would induce new dislocations if the stress reaches the critical value.Most of the thread dislocations in GaN buffer layer shape like arcs,resulting in epitaxial growth.
Structure and Magnetic Properties of Vacuum Annealed Fe/Pt Multilayers
Li Baohe, Hwang Pol, Yang Tao, Zhai Zhonghai, Zhu Fengwu
Chin. J. Semicond.  2005, 26(S1): 32-35
Abstract PDF

Fe/Pt multilayers and FePt thin films are prepared by DC magnetron sputtering.The as-prepared samples are subjected to vacuum annealing at temperature in the range of 300~550℃.The multilayered structure is an effective approach for reducing the ordering temperature of FePt.The ordering parameter S is evaluated to be 0.6,and the coercivity is evaluated to be 501kA/m in [Fe(1.5nm)/Pt(1.5nm)13 multilayers at 350℃ annealing temperature.This appreciable reduction is correlated with rapid diffusion at the interface of Fe/Pt.

Fe/Pt multilayers and FePt thin films are prepared by DC magnetron sputtering.The as-prepared samples are subjected to vacuum annealing at temperature in the range of 300~550℃.The multilayered structure is an effective approach for reducing the ordering temperature of FePt.The ordering parameter S is evaluated to be 0.6,and the coercivity is evaluated to be 501kA/m in [Fe(1.5nm)/Pt(1.5nm)13 multilayers at 350℃ annealing temperature.This appreciable reduction is correlated with rapid diffusion at the interface of Fe/Pt.
Thermal Annealing Induced Structure Change in MBE Grown InGaNAs Alloys for 1.3μm Emission
Zhang Shiyong, Xu Yingqiang, Ren Zhengwei, Niu Zhichuan, Wu Ronghan
Chin. J. Semicond.  2005, 26(S1): 36-38
Abstract PDF

A miscibility gap exists in the InGaNAs/GaAs system resulting in spinodal-like decomposition of the alloy;that is,there exists a range of composition and temperatures in which the solid solutions are unstable.During thermal annealing,spinodal decomposition is thermally activated and it is energetically favorable for InGaNAs alloys homogeneously grown by MBE for 1.3μm emission to decompose into In-N- and Ga-As-rich regions according to our theoretical analysis based on the regular solution model

A miscibility gap exists in the InGaNAs/GaAs system resulting in spinodal-like decomposition of the alloy;that is,there exists a range of composition and temperatures in which the solid solutions are unstable.During thermal annealing,spinodal decomposition is thermally activated and it is energetically favorable for InGaNAs alloys homogeneously grown by MBE for 1.3μm emission to decompose into In-N- and Ga-As-rich regions according to our theoretical analysis based on the regular solution model
Strain Compensation in SiGe by Boron Doping
Cheng Buwen, Yao Fei, Xue Chunlai, Zhang Jianguo, Li Chuanbo, Mao Rongwei, Zuo Yuhua, Luo Liping, Wang Qiming
Chin. J. Semicond.  2005, 26(S1): 39-41
Abstract PDF

Strained SiGe alloy doped with different boron concentrations is grown by UHV/CVD(ultra-high vacuum chemical vapor deposition).Strain compensation of B in SiGe is studied.The compensation ratio of B to Ge is 7.3.The lattice-contraction coefficient of B in Si,6.23e-24cm3/atom,is obtained.

Strained SiGe alloy doped with different boron concentrations is grown by UHV/CVD(ultra-high vacuum chemical vapor deposition).Strain compensation of B in SiGe is studied.The compensation ratio of B to Ge is 7.3.The lattice-contraction coefficient of B in Si,6.23e-24cm3/atom,is obtained.
Enhancement of Ferromagnetic Transition Temperature in (GaMn)As by Post-Growth Annealing
Deng Jiajun, Zhao Jianhua, Jiang Chunping, Niu Zhichuan, Yang Fuhua, Wu Xiaoguang, Zheng Houzhi
Chin. J. Semicond.  2005, 26(S1): 42-44
Abstract PDF

A diluted magnetic semiconductor (Ga,Mn)As film is grown on semi-insulating (001) GaAs by low-temperature molecular beam epitaxy.X-ray diffraction pattern shows its zincblende structure with a lattice constant of 0.5683nm,which corresponds to a nominal Mn composition of 7%.Magnetic measurements reveal that the ferromagnetic transition temperature is 65K.Effect of low-temperature annealing on magnetic properties of (Ga,Mn)As is also investigated.The ferromagnetic transition temperature is increased up to 115K after annealing.

A diluted magnetic semiconductor (Ga,Mn)As film is grown on semi-insulating (001) GaAs by low-temperature molecular beam epitaxy.X-ray diffraction pattern shows its zincblende structure with a lattice constant of 0.5683nm,which corresponds to a nominal Mn composition of 7%.Magnetic measurements reveal that the ferromagnetic transition temperature is 65K.Effect of low-temperature annealing on magnetic properties of (Ga,Mn)As is also investigated.The ferromagnetic transition temperature is increased up to 115K after annealing.
Influence of Ti Interlayer on Untrathin Ni Film Silicidation
Jiang Yulong, Ru Guoping, Qu Xinping, Li Bingzong
Chin. J. Semicond.  2005, 26(S1): 45-48
Abstract PDF

Ultra thin Ni(5nm) film and Ni(5nm)/Ti(1nm) complex film are deposited on various Si substrates by ion beam sputtering,followed by rapid thermal annealing for solid state silicidation.Four point probe method,micro-Raman scattering spectroscopy,and Auger electron spectroscopy are employed to investigate the influence of Ti interlayer on Ni/Si reaction.Experimental results show that the Ti interlayer will retard the formation of NiSi.

Ultra thin Ni(5nm) film and Ni(5nm)/Ti(1nm) complex film are deposited on various Si substrates by ion beam sputtering,followed by rapid thermal annealing for solid state silicidation.Four point probe method,micro-Raman scattering spectroscopy,and Auger electron spectroscopy are employed to investigate the influence of Ti interlayer on Ni/Si reaction.Experimental results show that the Ti interlayer will retard the formation of NiSi.
SEM Investigation on Influences of ZnS/CuInS2/Mo/SL-Glass Substrate on RF Sputtered ZnO∶Al Films
Shao Lexi, Liu Xiaoping, Hwang Hueyliang
Chin. J. Semicond.  2005, 26(S1): 49-51
Abstract PDF

Zinc oxide doped with aluminum (ZnO∶Al) thin films are prepared on ZnS/CuInS2/Mo/soda-lime glass substrates by using radio frequency magnitron sputtering technique with ceramics ZnO∶Al2O3 as the target under the same deposition conditions at 200℃.The influences of growth parameters of the ZnS and CuInS2 layers on the deposited ZnO∶Al film surface morphology are investigated by SEM.The results show that increase of the sulfur content whether from ZnS or CuInS2 results in crystallinity quality raising and that increasing metal content in the sublayers will improve the uniformity of the deposited ZnO∶Al films.

Zinc oxide doped with aluminum (ZnO∶Al) thin films are prepared on ZnS/CuInS2/Mo/soda-lime glass substrates by using radio frequency magnitron sputtering technique with ceramics ZnO∶Al2O3 as the target under the same deposition conditions at 200℃.The influences of growth parameters of the ZnS and CuInS2 layers on the deposited ZnO∶Al film surface morphology are investigated by SEM.The results show that increase of the sulfur content whether from ZnS or CuInS2 results in crystallinity quality raising and that increasing metal content in the sublayers will improve the uniformity of the deposited ZnO∶Al films.
Influence of Growth and Annealing Conditions on Perfection of InP Single Crystals
Zhao Youwen, Dong Hongwei
Chin. J. Semicond.  2005, 26(S1): 52-56
Abstract PDF

X-ray double crystal diffracrion (XRD) is used to study the lattice perfection of as-grown and annealed InP single crystals.The full width at half maximum (FWHM) of XRD of as-grown InP single crystal is wider and double peaks can be observed in some samples.The FWHM of XRD on a whole wafer is not uniform.This is caused by a very large lattice distortion which is originated from a high density of dislocation and residual thermal stress in the as-grown InP.The lattice perfection of InP single crystal can be improved through the reduction of growth temperature gradient,decrease of dislocation density and minimise of residual thermal stress.The residual thermal stress in InP single crystal can also be reduced effectively via high tempreature annealing.The influence of stoichiometry and doping concentration on the crystal perfection is also discussed.

X-ray double crystal diffracrion (XRD) is used to study the lattice perfection of as-grown and annealed InP single crystals.The full width at half maximum (FWHM) of XRD of as-grown InP single crystal is wider and double peaks can be observed in some samples.The FWHM of XRD on a whole wafer is not uniform.This is caused by a very large lattice distortion which is originated from a high density of dislocation and residual thermal stress in the as-grown InP.The lattice perfection of InP single crystal can be improved through the reduction of growth temperature gradient,decrease of dislocation density and minimise of residual thermal stress.The residual thermal stress in InP single crystal can also be reduced effectively via high tempreature annealing.The influence of stoichiometry and doping concentration on the crystal perfection is also discussed.
Effect of Ion Energy and Substrate Temperature on Gadolinium Oxide Structure
Zhou Jianping, Chai Chunlin, Yang Shaoyan, Liu Zhikai, Song Shulin, Li Yanli, Chen Nuofu, Lin Yuanhua
Chin. J. Semicond.  2005, 26(S1): 57-60
Abstract PDF

Gadolinium oxide thin films are prepared on silicon (100) substrates with a low-energy dual ion-beam epitaxial technique.Substrate temperature is an important factor to affect the crystal structures and textures in an ion energy range of 100~500eV.The films have a monoclinic Gd2O3 structure with preferred orientation (402) at low substrate temperatures.When the substrate temperature increases,the orientation turnes to (202),and finally,the cubic structure appeares at 700℃,which is disagreed with the previous report because of the ion energy.Oxygen deficiencies are found in the films by XPS studies and part of deficiencies is eliminated after the technical improvement.

Gadolinium oxide thin films are prepared on silicon (100) substrates with a low-energy dual ion-beam epitaxial technique.Substrate temperature is an important factor to affect the crystal structures and textures in an ion energy range of 100~500eV.The films have a monoclinic Gd2O3 structure with preferred orientation (402) at low substrate temperatures.When the substrate temperature increases,the orientation turnes to (202),and finally,the cubic structure appeares at 700℃,which is disagreed with the previous report because of the ion energy.Oxygen deficiencies are found in the films by XPS studies and part of deficiencies is eliminated after the technical improvement.
Investigation of PL of CeO2/Si Thin Film Fabricated Using Magetron Control Sputtering System
Chai Chunlin, Yang Shaoyan, Liu Zhikai, Chen Nuofu
Chin. J. Semicond.  2005, 26(S1): 61-64
Abstract PDF

The growth of CeO2 thin films using reactive magnetron control sputtering system is investigated.It is concluded that the crystal quality of thin film is dependent on the ratio of O2 to Ar.The PL spectrum of CeO2 thin film is measured at room temperature.The results show that the PL is originated from the oxygen vacancies.

The growth of CeO2 thin films using reactive magnetron control sputtering system is investigated.It is concluded that the crystal quality of thin film is dependent on the ratio of O2 to Ar.The PL spectrum of CeO2 thin film is measured at room temperature.The results show that the PL is originated from the oxygen vacancies.
Characterization of (CoCr/Pt)20 Namomultilayers Preparation by Magnetron Sputtring
Hwang Pol, Li Baohe, Yang Tao, Zhai Zhonghai, Zhu Fengwu
Chin. J. Semicond.  2005, 26(S1): 65-69
Abstract PDF

(CoCr/Pt) 20 nanomultilayers with Pt underlayers are prepared by DC magnetron sputtering and the effect of sputtering Ar gas pressure on microstructure and magnetic properties of (CoCr/Pt)20 nanomultilayers is studied.The results show that sputtering Ar gas pressure has a great effect on microstructure,perpendicular magnetic anisotropy,and coercivity of (CoCr/Pt)20 nanomultilayers.For all samples,the effective magnetic anisotropy constant Keff>0 and all samples have perpendicular magnetic anisotropy.The X-ray diffraction patterns show that low angle X-ray diffraction peaks are very sharp.This confirms the good quality of the multilayers and the bilayer periodicity of films.With increasing of sputtering Ar gas pressure,perpendicular and in-plane coercivity of the samples increase,but the effective magnetic anisotropy constant decreases.The images of atomic force microscopy show that when the sputtering Ar gas pressure is increased,both average grain size and the surface roughness are increased,which lead to the increase of perpendicular coercivity and the decrease of effective magnetic anisotropy constant.

(CoCr/Pt) 20 nanomultilayers with Pt underlayers are prepared by DC magnetron sputtering and the effect of sputtering Ar gas pressure on microstructure and magnetic properties of (CoCr/Pt)20 nanomultilayers is studied.The results show that sputtering Ar gas pressure has a great effect on microstructure,perpendicular magnetic anisotropy,and coercivity of (CoCr/Pt)20 nanomultilayers.For all samples,the effective magnetic anisotropy constant Keff>0 and all samples have perpendicular magnetic anisotropy.The X-ray diffraction patterns show that low angle X-ray diffraction peaks are very sharp.This confirms the good quality of the multilayers and the bilayer periodicity of films.With increasing of sputtering Ar gas pressure,perpendicular and in-plane coercivity of the samples increase,but the effective magnetic anisotropy constant decreases.The images of atomic force microscopy show that when the sputtering Ar gas pressure is increased,both average grain size and the surface roughness are increased,which lead to the increase of perpendicular coercivity and the decrease of effective magnetic anisotropy constant.
Homoepitaxial Growth and Properties of 4H-SiC by Chemical Vapor Deposition
Gao Xin, Sun Guosheng, Li Jinmin, Zhao Wanshun, Wang Lei, Zhang Yongxing, Zeng Yiping
Chin. J. Semicond.  2005, 26(S1): 70-73
Abstract PDF

4H-SiC(0001) epilayers are grown on 8° off-axis 4H-SiC (0001) substrates by an atmosphere pressure chemical vapor deposition system.Hall measurements show that the unintentionally-doped epilayers are n-type conductive.XRD shows that a single peak in all the samples appears at 2θ=35.5° ,indicating single crystal nature of the epilayers.In lowtemperature photoluminescence,the sample grown at lower temperature exhibits a broad peak at 1.8~2.4eV.In room-temperature Raman spectra,the typical 3C-SiC feature peaks are observed in the same sample,indicating the existence of cubic SiC inclusion,which is in accordance with the photoluminescence result.

4H-SiC(0001) epilayers are grown on 8° off-axis 4H-SiC (0001) substrates by an atmosphere pressure chemical vapor deposition system.Hall measurements show that the unintentionally-doped epilayers are n-type conductive.XRD shows that a single peak in all the samples appears at 2θ=35.5° ,indicating single crystal nature of the epilayers.In lowtemperature photoluminescence,the sample grown at lower temperature exhibits a broad peak at 1.8~2.4eV.In room-temperature Raman spectra,the typical 3C-SiC feature peaks are observed in the same sample,indicating the existence of cubic SiC inclusion,which is in accordance with the photoluminescence result.
Preparation of Dielectric Bi2Ti2O7 Thin Film by Pulsed Laser Deposition Method and Its Optical Absorption Properties
Lin Yuanhua, Wang Jianfei, He Hongcai, Zhou Jianping, Zhou Xisong, Nan Cewen
Chin. J. Semicond.  2005, 26(S1): 74-77
Abstract PDF

Dielectric Bi2Ti2O7 thin films are synthesized by the pulsed laser deposition with the energy of single pulse ~350mJ,the pulse frequency ~5Hz,and suitable substrate temperature.The results indicate that the pure and homogeneous films can be obtained when the SiO2 substrate temperature was controlled in the range of 500~600℃.The dielectric constant is about 18.2 for the BIT-3 film sample,dielectric loss is about 0.015 and has good frequency stability.The dielectric film has good absorptivity in the range of 200~450nm wavelength,which can be attractive for technological applications for the MEMS devices.

Dielectric Bi2Ti2O7 thin films are synthesized by the pulsed laser deposition with the energy of single pulse ~350mJ,the pulse frequency ~5Hz,and suitable substrate temperature.The results indicate that the pure and homogeneous films can be obtained when the SiO2 substrate temperature was controlled in the range of 500~600℃.The dielectric constant is about 18.2 for the BIT-3 film sample,dielectric loss is about 0.015 and has good frequency stability.The dielectric film has good absorptivity in the range of 200~450nm wavelength,which can be attractive for technological applications for the MEMS devices.
Control of Growth of Self-Assembled Semiconductor Quantum Dots
Lou Chaogang, Li Xianjie, Zhang Xiaobing, Lei Wei
Chin. J. Semicond.  2005, 26(S1): 78-81
Abstract PDF

This paper investigates the control of growth of self-assembled semiconductor quantum dots.After simulating the self-assembled quantum dots based on mean-field model and nonmean field model,a method to control the sizes of quantum dots by suppressing the ripening of islands is proposed,and a computer simulation based on this method is carried out.Results show that separating adatom sea into many nanometer-sized isolated cells will suppress the ripening of islands,thus growing rates can be adjusted to obtain ordered and uniform quantum dot arrays.

This paper investigates the control of growth of self-assembled semiconductor quantum dots.After simulating the self-assembled quantum dots based on mean-field model and nonmean field model,a method to control the sizes of quantum dots by suppressing the ripening of islands is proposed,and a computer simulation based on this method is carried out.Results show that separating adatom sea into many nanometer-sized isolated cells will suppress the ripening of islands,thus growing rates can be adjusted to obtain ordered and uniform quantum dot arrays.
Growth of ZnO Thin Films on LSAT (111) Substrates by Radio Frequency Plasma-Assisted Molecular Beam Epitaxy
Ying Minju, Du Xiaolong, Liu Yuzi, Zeng Zhaoquan, Mei Zengxia, Zheng Hao, Yuan Hongtao, Jia Jinfeng, Xue Qikun, Zhang Ze
Chin. J. Semicond.  2005, 26(S1): 82-86
Abstract PDF

By using radio frequency plasma-assisted molecular beam epitaxy,the influence of substrate surface preconditiong and growth temperature on in-plane and polar orientations and surface morphology of ZnO thin films on LSAT (111) substrates are investigated. Rotation domains are observed in ZnO film grown at low temperature, while single-domain O-polar ZnO has been obtained with oxygen radicals pretreatment and high growth temperature. The origin of rotation domains in ZnO thin film is also discussed.

By using radio frequency plasma-assisted molecular beam epitaxy,the influence of substrate surface preconditiong and growth temperature on in-plane and polar orientations and surface morphology of ZnO thin films on LSAT (111) substrates are investigated. Rotation domains are observed in ZnO film grown at low temperature, while single-domain O-polar ZnO has been obtained with oxygen radicals pretreatment and high growth temperature. The origin of rotation domains in ZnO thin film is also discussed.
Two-Dimensional Ring-Type Photonic Crystals in Near Infrared Region
Xu Xingsheng, Zhang Xiaofan, Sun Zenghui, Chen Hongda, Zhang Daozhong
Chin. J. Semicond.  2005, 26(S1): 87-90
Abstract PDF

A ring photonic crystal working in the near infrared region is proposed,where the air holes in the background material GaAs are arranged to form a series of rings.It is found that the band gaps do not depend on the incident direction,and only a small number of rows are needed to create a frequency gap in the transmission spectrum.The transmission spectra of both P and S polarizations show that there is a complete bandgap in the hexagonal ring photonic crystals and the ratio of gap width to mid-gap frequency is as high as 11%.

A ring photonic crystal working in the near infrared region is proposed,where the air holes in the background material GaAs are arranged to form a series of rings.It is found that the band gaps do not depend on the incident direction,and only a small number of rows are needed to create a frequency gap in the transmission spectrum.The transmission spectra of both P and S polarizations show that there is a complete bandgap in the hexagonal ring photonic crystals and the ratio of gap width to mid-gap frequency is as high as 11%.
High Resistivity GaN Film Grown by MOCVD
Fang Cebao, Wang Xiaoliang, Liu Chao, Hu Guoxin, Wang Junxi, Li Jianping, Wang Cuimei, Li Chengji, Zeng Yiping, Li Jinmin
Chin. J. Semicond.  2005, 26(S1): 91-93
Abstract PDF

High resistivity unintentionally doped GaN films are grown on (0001) sapphire by metalorganic chemical vapor deposition.The surface morphology of the layer is measured by both atomic force microscopy and scanning electron microscopy.The film shows a mirror-like surface morphology (RMS: 0.3nm).The FWHM value of X-ray rocking curve is 5.22′,indicating that the single-cryatalline GaN quality is well-crystallized.The resistivity at room temperature and 250℃ is measured to be 6.6e8Ω·cm and 10.6Ω·cm by variable-temperature Hall measurement,respectively.

High resistivity unintentionally doped GaN films are grown on (0001) sapphire by metalorganic chemical vapor deposition.The surface morphology of the layer is measured by both atomic force microscopy and scanning electron microscopy.The film shows a mirror-like surface morphology (RMS: 0.3nm).The FWHM value of X-ray rocking curve is 5.22′,indicating that the single-cryatalline GaN quality is well-crystallized.The resistivity at room temperature and 250℃ is measured to be 6.6e8Ω·cm and 10.6Ω·cm by variable-temperature Hall measurement,respectively.
Mn-Si Films Fabricated by Low Energy Ion Beam Deposition
Liu Lifeng, Chen Nuofu, Chai Chunlin, Yang Shaoyan, Liu Zhikai
Chin. J. Semicond.  2005, 26(S1): 94-97
Abstract PDF

Manganese content gradually variational Mn-Si film is fabricated by low energy ion beam deposition method.The compositional properties of samples are studied by Auger electron spectroscopy.The structure and surface morphologies of samples are analyzed by X-ray diffraction and atomic force microscopy,respectively.Measurements show that manganese ions reach deeper in the sample grown at 300℃ than one grown at room temperature,the structure of which is amorphous.The structure of the sample grown at 300℃ is crystallized.There is no new phase except silicon in the sample grown at 300℃ and indicates that Mn-Si solid solution film is obtained.

Manganese content gradually variational Mn-Si film is fabricated by low energy ion beam deposition method.The compositional properties of samples are studied by Auger electron spectroscopy.The structure and surface morphologies of samples are analyzed by X-ray diffraction and atomic force microscopy,respectively.Measurements show that manganese ions reach deeper in the sample grown at 300℃ than one grown at room temperature,the structure of which is amorphous.The structure of the sample grown at 300℃ is crystallized.There is no new phase except silicon in the sample grown at 300℃ and indicates that Mn-Si solid solution film is obtained.
High Rate Deposition of Device Quality Microcrystalline Si Transition Regime Films Using RF-PECVD
Zhou Bingqing, Zhu Meifang, Liu Fengzhen, Liu Jinlong, Gu Jinhua, Zhang Qunfang, Li Guohua, Ding Kun
Chin. J. Semicond.  2005, 26(S1): 98-101
Abstract PDF

The effects of deposition pressure,RF power,electrodes distance,hydrogen dilution datio, etc.on the deposition rate and electrical properties of microcrystalline Si thin films (μc-Si∶H) prepared by RF plasma enhanced chemical vapour deposition are studied.By optimizing the deposition parameters,the μc-Si∶H thin films with a deposition rate of 0.3~0.4nm/s are prepared which is near to the transition regime of from amorphous to microcrystalline The device quality μc-Si∶H thin films with dark conductivity of ~1e-7S/cm and the activation energy of 0.52eV show the good opto-electrical properties and compact structure.

The effects of deposition pressure,RF power,electrodes distance,hydrogen dilution datio, etc.on the deposition rate and electrical properties of microcrystalline Si thin films (μc-Si∶H) prepared by RF plasma enhanced chemical vapour deposition are studied.By optimizing the deposition parameters,the μc-Si∶H thin films with a deposition rate of 0.3~0.4nm/s are prepared which is near to the transition regime of from amorphous to microcrystalline The device quality μc-Si∶H thin films with dark conductivity of ~1e-7S/cm and the activation energy of 0.52eV show the good opto-electrical properties and compact structure.
MgZnO Alloy Thin Films Grown by Metalorganic Chemical Vapor Deposition
Zhang Yuantao, Zhu Huichao, Cui Yongguo, Zhang Baolin, Yang Shuren, Du Guotong
Chin. J. Semicond.  2005, 26(S1): 102-105
Abstract PDF

High-quality MgxZn1-xO thin films are successfully grown on C-plane sapphire substrates by metalorganic chemical vapor deposition at 600~630℃.The structural and optical properties of MgxZn1-xO films are investigated using X-ray diffraction and the transmittance spectrum.The alloy thin films keep the wurtzite structure and MgO phase separation is not observed with Mg content up to 0.39.The optical bandgaps of MgxZn1-xO alloys (0≤x≤0.39) range from 3.3 to 3.95eV.

High-quality MgxZn1-xO thin films are successfully grown on C-plane sapphire substrates by metalorganic chemical vapor deposition at 600~630℃.The structural and optical properties of MgxZn1-xO films are investigated using X-ray diffraction and the transmittance spectrum.The alloy thin films keep the wurtzite structure and MgO phase separation is not observed with Mg content up to 0.39.The optical bandgaps of MgxZn1-xO alloys (0≤x≤0.39) range from 3.3 to 3.95eV.
Epitaxial Lateral Overgrowth of High Quality GaN by MOCVD
Chen Jun, Zhang Jicai, Zhang Shuming, Zhu Jianjun, Yang Hui
Chin. J. Semicond.  2005, 26(S1): 106-108
Abstract PDF

High quality of GaN epilayers are obtained by epitaxial lateral overgrowth (ELOG) in a metalorganic chemical vapor deposition on GaN/sapphire composite substrate.The ELOG sample is characterized by scanning electron microscope (SEM),double-crystal X-ray diffraction,and transmission electron microscope (TEM).It is found that the coalescenced GaN layer exhibits smooth surface and the crystalline quality is greatly improved with respect to that of GaN template.TEM results indicate that all the threading dislocations under the mask have been blocked,and most of the threading dislocations within window region have been directed away from along c axis.

High quality of GaN epilayers are obtained by epitaxial lateral overgrowth (ELOG) in a metalorganic chemical vapor deposition on GaN/sapphire composite substrate.The ELOG sample is characterized by scanning electron microscope (SEM),double-crystal X-ray diffraction,and transmission electron microscope (TEM).It is found that the coalescenced GaN layer exhibits smooth surface and the crystalline quality is greatly improved with respect to that of GaN template.TEM results indicate that all the threading dislocations under the mask have been blocked,and most of the threading dislocations within window region have been directed away from along c axis.
Influence of Thickness of High Temperature AlN Buffer Grown on Si(111) on GaN Structure Properties
Wang Jianfeng, Zhang Jicai, Zhang Baoshun, Wu Mo, Wang Yutian, Yang Hui, Liang Junwu
Chin. J. Semicond.  2005, 26(S1): 109-112
Abstract PDF

The influences of AlN buffer thickness on the optical and the crystalline properties of metalorganic chemical vapor deposition wurtzite GaN layers on Si(111) substrate are investigated.High-resolution X-ray diffraction and photoluminescence measurement reveal that the thickness of AlN buffer exerts a strong influence on the distribution of dislocation and stress in GaN epilayer.The evidence is further reinforced by atomic force microscopic observation of AlN nucleation process.The optimum thickness of AlN buffer to effectively suppress Si diffusion is determined by secondary-ion mass spectroscopy to be in the range of 13~20nm.

The influences of AlN buffer thickness on the optical and the crystalline properties of metalorganic chemical vapor deposition wurtzite GaN layers on Si(111) substrate are investigated.High-resolution X-ray diffraction and photoluminescence measurement reveal that the thickness of AlN buffer exerts a strong influence on the distribution of dislocation and stress in GaN epilayer.The evidence is further reinforced by atomic force microscopic observation of AlN nucleation process.The optimum thickness of AlN buffer to effectively suppress Si diffusion is determined by secondary-ion mass spectroscopy to be in the range of 13~20nm.
LPCVD Homoepitaxial Growth on Off-Axis Si-Face 4H-SiC(0001) Substrates
Wang Lei, Sun Guosheng, Gao Xin, Zhao Wanshun, Zhang Yongxing, Zeng Yiping, Li Jinmin
Chin. J. Semicond.  2005, 26(S1): 113-116
Abstract PDF

Chemical vapor deposition (CVD) is the primary technique for the growth of SiC materials used to fabricate microelectronic devices.In order to obtain high quality 4H-SiC epilayers,homoepitaxial growth is performed on 8° off-axis toward 〈1120〉 4H-SiC(0001) Si-faced substrates,utilizing an idea of step-controlled epitaxial growth.Since surface morphology is an important parameter determining material quality,the relation between surface morphology and growth parameters,as well as the reasons of defect formation,is explored.Polytypies of nonuniform SiC epilayers is investigated using Raman scattering.

Chemical vapor deposition (CVD) is the primary technique for the growth of SiC materials used to fabricate microelectronic devices.In order to obtain high quality 4H-SiC epilayers,homoepitaxial growth is performed on 8° off-axis toward 〈1120〉 4H-SiC(0001) Si-faced substrates,utilizing an idea of step-controlled epitaxial growth.Since surface morphology is an important parameter determining material quality,the relation between surface morphology and growth parameters,as well as the reasons of defect formation,is explored.Polytypies of nonuniform SiC epilayers is investigated using Raman scattering.
Over Wet-Etching Self-Aligned Ion Implantation Doping Technology on Fabricating SiGe/Si HBT
Yao Fei, Cheng Buwen, Xue Chunlai, Wang Qiming
Chin. J. Semicond.  2005, 26(S1): 117-120
Abstract PDF

A new technology of fabricating SiGe/Si HBT--over wet-etching self-aligned ion implantation doping technology is first presented. Over etching the sacrificing layer SiO2 ensures the masking metal layer large enough to shield the following self-aligned ion implantation doping to the base area, and the self-aligned ion implantation doping lessens the non-latent resistance and extends the thickness of the base, resulting the ohm contact easier to be made.

A new technology of fabricating SiGe/Si HBT--over wet-etching self-aligned ion implantation doping technology is first presented. Over etching the sacrificing layer SiO2 ensures the masking metal layer large enough to shield the following self-aligned ion implantation doping to the base area, and the self-aligned ion implantation doping lessens the non-latent resistance and extends the thickness of the base, resulting the ohm contact easier to be made.
Epitaxy and Physical Properties of 1.31μm Vertical Cavity Surface-Emitting Lasers
Wu Huizhen, Huang Zhanchao, Lao Yanfeng
Chin. J. Semicond.  2005, 26(S1): 121-125
Abstract PDF

Gas source molecular beam epitaxy was used to grow InAsP/InGaAsP strain-compensated multiple quantum wells for 1.31μm vertical cavity surface emitting lasers on InP substrates and GaAs/AlGaAs distributed Bragg reflectors on GaAs substrates.Then the InAsP/InGaAsP strain-compensated multiple quantum wells were directly bonded to DBR grown on GaAs substrates.Physical properties,such as microstructures,luminescence emission of the bonded structures were investigated.Photoluminescence characterization showed that 500~620℃ bonding processes and following removal of InP substrates did not lower the luminescence efficiency of the MQWs.The annealing effect in the bonding process improved the crystalline quality and enhanced the luminescence efficiency.The PL intensity of the MQWs after 620℃ annealing is 3 times of the as grown samples.

Gas source molecular beam epitaxy was used to grow InAsP/InGaAsP strain-compensated multiple quantum wells for 1.31μm vertical cavity surface emitting lasers on InP substrates and GaAs/AlGaAs distributed Bragg reflectors on GaAs substrates.Then the InAsP/InGaAsP strain-compensated multiple quantum wells were directly bonded to DBR grown on GaAs substrates.Physical properties,such as microstructures,luminescence emission of the bonded structures were investigated.Photoluminescence characterization showed that 500~620℃ bonding processes and following removal of InP substrates did not lower the luminescence efficiency of the MQWs.The annealing effect in the bonding process improved the crystalline quality and enhanced the luminescence efficiency.The PL intensity of the MQWs after 620℃ annealing is 3 times of the as grown samples.
Conductivity to Soft Failure of N-O-Si Thin Film Used in Nanometer Device
Xu Mingzhen, Tan Changhua, He Yandong, Duan Xiaorong
Chin. J. Semicond.  2005, 26(S1): 126-128
Abstract PDF

The properties of conductivity at soft failure are studied under constant voltage stress.It is experimentally shown that the logarithm of the conductivity as well as time-to-breakdown follows a reciprocal temperature dependence and a single path conductivity- and time-to-breakdown are also strongly correlated,and obey a simple reci- symmetrical law.They can be explained by stress induced defect conduction mechanism

The properties of conductivity at soft failure are studied under constant voltage stress.It is experimentally shown that the logarithm of the conductivity as well as time-to-breakdown follows a reciprocal temperature dependence and a single path conductivity- and time-to-breakdown are also strongly correlated,and obey a simple reci- symmetrical law.They can be explained by stress induced defect conduction mechanism
Fabrication of 980nm Vertical-Cavity Surface-Emitting-Diodes
Guo Xia, Dong Limin, Qu Hongwei, Da Xiaoli, Du Jinyu, Deng Jun, Shen Guangdi
Chin. J. Semicond.  2005, 26(S1): 129-131
Abstract PDF

980nm vertical-cavity surface-emitting-diodes (VCSELs) are fabricated by employing metal organic chemical vapor deposition technique and AlAs/AlGaAs selective wet nitrogen oxidation technique which helps to realize the electrical and optical confinement in the VCSEL devices.The effects of oxidation aperture size on the performance of the VCSEL,such as threshold current and series resistance,are analyzed through fabricating VCSELs with different aperture size at the same time.The minimum threshold current is 0.8mA and the maximum optical output power achieved is about 8mW.

980nm vertical-cavity surface-emitting-diodes (VCSELs) are fabricated by employing metal organic chemical vapor deposition technique and AlAs/AlGaAs selective wet nitrogen oxidation technique which helps to realize the electrical and optical confinement in the VCSEL devices.The effects of oxidation aperture size on the performance of the VCSEL,such as threshold current and series resistance,are analyzed through fabricating VCSELs with different aperture size at the same time.The minimum threshold current is 0.8mA and the maximum optical output power achieved is about 8mW.
CH3CSNH2/NH4OH Passivation on GaInAsSb/GaSb PIN Infrared Photodetectors
Liu Yanxiang, Tang Shaoqiu, Xia Guanqun, Cheng Zongquan, Zheng Yanlan
Chin. J. Semicond.  2005, 26(S1): 132-135
Abstract PDF

A new low-toxicity sulfur passivation method is developed for GaInAsSb compound detector by CH3CSNH2/NH4OH solution.Measurement results show reverse currents decrease greatly and dynamic resistance increase up to 25 times,and the passivation result even better after 83 days of passivation, with the equal ideal result of sulphur passivation.AES and XPS are used to analyze the element density with etching depth.

A new low-toxicity sulfur passivation method is developed for GaInAsSb compound detector by CH3CSNH2/NH4OH solution.Measurement results show reverse currents decrease greatly and dynamic resistance increase up to 25 times,and the passivation result even better after 83 days of passivation, with the equal ideal result of sulphur passivation.AES and XPS are used to analyze the element density with etching depth.
Design and Process for Self-Aligned InP/InGaAs SHBT Structure
Li Xianjie, Cai Daomin, Zhao Yonglin, Wang Quanshu, Zhou Zhou, Zeng Qingming
Chin. J. Semicond.  2005, 26(S1): 136-139
Abstract PDF

A traditional base-emitter contact self-aligned structure as well as an improved one is designed and processed for InP/InGaAs SHBT based on wet chemical anisotropy etching experiment of InP.The effect of shorting the gap between the base contact and the emitter mesa for the two structures is compared,which provides the effective method for high frequency devices.

A traditional base-emitter contact self-aligned structure as well as an improved one is designed and processed for InP/InGaAs SHBT based on wet chemical anisotropy etching experiment of InP.The effect of shorting the gap between the base contact and the emitter mesa for the two structures is compared,which provides the effective method for high frequency devices.
Electrical Characteristics of n-Type 4H-SiC MOS Capacitor
Ning Jin, Liu Zhongli, Gao Jiantou
Chin. J. Semicond.  2005, 26(S1): 140-142
Abstract PDF

Al gate MOS capacitor is fabricated using thermally oxidized 30nm SiO2 layer grown on n type 4H-SiC epitaxial layer by synthesizing H2 and O.2.The C-Vcharacteristics are measured and analysed.According to the results,the interface feature of SiO2-SiC and doping concentration of the n type 4H-SiC epitaxial layer are obtained.The interface has quite good quality and the interface state density is small.The doping concentration of uniform n type 4H-SiC epitaxial layer is 1.84e17cm-3.

Al gate MOS capacitor is fabricated using thermally oxidized 30nm SiO2 layer grown on n type 4H-SiC epitaxial layer by synthesizing H2 and O.2.The C-Vcharacteristics are measured and analysed.According to the results,the interface feature of SiO2-SiC and doping concentration of the n type 4H-SiC epitaxial layer are obtained.The interface has quite good quality and the interface state density is small.The doping concentration of uniform n type 4H-SiC epitaxial layer is 1.84e17cm-3.
Turn-On Mechanism of a Light-Activated SiC Heterojuntion Darlington HBT
Pu Hongbin, Chen Zhiming
Chin. J. Semicond.  2005, 26(S1): 143-146
Abstract PDF

A novel light-activated Darlington heterojuntion transistor power switch which is made of narrow bandgap ternary SiCGe alloys and SiC is proposed in which SiCGe/SiC pn heterojunction is employed to produce a base current by means of optical illumination.By using two-dimensional numerical simulation,performance of the novel light-activated power switch is simulated.It is shown that the light-activated device has very good switching characteristics for a infrared triggering light,and the forward voltage drop is about 4.5V during condition of saturation turn-on,and the switch is well suited for high light power.

A novel light-activated Darlington heterojuntion transistor power switch which is made of narrow bandgap ternary SiCGe alloys and SiC is proposed in which SiCGe/SiC pn heterojunction is employed to produce a base current by means of optical illumination.By using two-dimensional numerical simulation,performance of the novel light-activated power switch is simulated.It is shown that the light-activated device has very good switching characteristics for a infrared triggering light,and the forward voltage drop is about 4.5V during condition of saturation turn-on,and the switch is well suited for high light power.
Simulation on High-Frequency Performance of AlGaN/GaN HBTs
Ran Junxue, Wang Xiaoliang, Wang Cuimei, Wang Junxi, Zeng Yiping, Li Jinmin
Chin. J. Semicond.  2005, 26(S1): 147-150
Abstract PDF

The frequency performance of n-p-n AlGaN/GaN heterojunction bipolar transistors (HBTs) is calculated.The effects of some material parameters of the emitter,base and, collector on the frequency performances of n-p-n AlGaN/GaN HBTs are also investigated.It indicates that the frequency characters are mainly influenced by base designs.The frequency will be effectively improved by reducing the resistivity,increasing the hole concentration and mobility of the base.

The frequency performance of n-p-n AlGaN/GaN heterojunction bipolar transistors (HBTs) is calculated.The effects of some material parameters of the emitter,base and, collector on the frequency performances of n-p-n AlGaN/GaN HBTs are also investigated.It indicates that the frequency characters are mainly influenced by base designs.The frequency will be effectively improved by reducing the resistivity,increasing the hole concentration and mobility of the base.
Investigation of Undoped AlGaN/GaN Microwave Power HEMT
Zeng Qingming, Li Xianjie, Zhou Zhou, Wang Yong, Wang Xiaoliang
Chin. J. Semicond.  2005, 26(S1): 151-154
Abstract PDF

The structure,process, and performance of undoped AlGaN/GaN microwave power high electronic mobility transistors (HEMTs) are presented.Devices with the gate length and width of 0.6μm and 100~1000μm are developed.For those with the gate width of 100,300, and 500μm, the typical maximum transconductance is in the range of 190~170mS/mm; the cutoff frequency is about 24GHz; the maximum oscillation frequency is 55,46, and 40GHz,respectively.The continuous microwave power performance in different bias condition for the sample with 1000μm gate width is measured.When Vds=17V, Id=310mA, and Pin=25.19dBm, Po=30dBm (1W) ,Ga=4.81dB; When Vds=18V, Id=290mA and Pin=27dBm, Po=31.35dBm (1.37W) , Ga=435dB.

The structure,process, and performance of undoped AlGaN/GaN microwave power high electronic mobility transistors (HEMTs) are presented.Devices with the gate length and width of 0.6μm and 100~1000μm are developed.For those with the gate width of 100,300, and 500μm, the typical maximum transconductance is in the range of 190~170mS/mm; the cutoff frequency is about 24GHz; the maximum oscillation frequency is 55,46, and 40GHz,respectively.The continuous microwave power performance in different bias condition for the sample with 1000μm gate width is measured.When Vds=17V, Id=310mA, and Pin=25.19dBm, Po=30dBm (1W) ,Ga=4.81dB; When Vds=18V, Id=290mA and Pin=27dBm, Po=31.35dBm (1.37W) , Ga=435dB.
Characteristics Comparison of AlGaN/GaN HFET for Three Variant Vertical Structure
Lü Changzhi, Feng Shiwei, Wang Dongfeng, Zhang Xiaoling, Xie Xuesong, He Yan, Zhang Hao, Xu Liguo, Yuan Mingwen, Li Xiaobai, Zeng Qingming
Chin. J. Semicond.  2005, 26(S1): 155-157
Abstract PDF

By the compared studies on the three AlGaN/GaN HFET structures with normal,inverted,and double hetero-structures,it is found that the normal structure is the most simple one and is easily controllable for layer growth.The characteristics of inverted structure are poorer than one with normal structure,and those characteristics with double hetero-structure are better than the ones with normal and inverted structure,but its layer growth is much more complicated.

By the compared studies on the three AlGaN/GaN HFET structures with normal,inverted,and double hetero-structures,it is found that the normal structure is the most simple one and is easily controllable for layer growth.The characteristics of inverted structure are poorer than one with normal structure,and those characteristics with double hetero-structure are better than the ones with normal and inverted structure,but its layer growth is much more complicated.
Characteristics of Domain Wavelength and Light Output-Power of GaN-Based LED
Zhang Shuming, Zhu Jianjun, Li Deyao, Yang Hui
Chin. J. Semicond.  2005, 26(S1): 158-160
Abstract PDF

This paper investigates the characteristics of domain wavelength and light output-power of GaN-based violet and blue LEDs under DC and pulse injection,analyzes the possible causes of domain wavelength shift and light output power variation of GaN-based LEDs with increasing the injection current,and gives some suggestions about how to stable the domain wavelength of GaN-based LED.

This paper investigates the characteristics of domain wavelength and light output-power of GaN-based violet and blue LEDs under DC and pulse injection,analyzes the possible causes of domain wavelength shift and light output power variation of GaN-based LEDs with increasing the injection current,and gives some suggestions about how to stable the domain wavelength of GaN-based LED.
Investigation of p-Electrode in High Power GaN-LED Application
Yi Xiaoyan, Ma Long, Guo Jinxia, Wang Liangchen, Li Jinmin
Chin. J. Semicond.  2005, 26(S1): 161-164
Abstract PDF

The performance including contact resistance,reflectivity, and current spreading of several types of ohmic contacts to p-GaN is analyzed,such as Ni/Au/Ag,ITO/Ag,Ag, etc.Based on this data all kinds of p-electrode designs used in high power flip-chip LED are achieved.The mechanism of ohmic contact for the Ni/Au/p-GaN degrades under long-time high-temperature working,so low-resistance,high-reflectivity, and thermally stable ohmic contacts on p-GaN using Ru and Ir are proposed.

The performance including contact resistance,reflectivity, and current spreading of several types of ohmic contacts to p-GaN is analyzed,such as Ni/Au/Ag,ITO/Ag,Ag, etc.Based on this data all kinds of p-electrode designs used in high power flip-chip LED are achieved.The mechanism of ohmic contact for the Ni/Au/p-GaN degrades under long-time high-temperature working,so low-resistance,high-reflectivity, and thermally stable ohmic contacts on p-GaN using Ru and Ir are proposed.
Research on Key Technologies of High Power GaN-Based LED
Ma Long, Yi Xiaoyan, Guo Jinxia, Wang Liangchen, Wang Guohong, Li Jinmin
Chin. J. Semicond.  2005, 26(S1): 165-169
Abstract PDF

The key technologies of high power GaN-based LED especially the design of large size LED chip,the selection and preparation of p electrode,the improvement of extract efficiency, and the flip chip technology are introduced and discussed.

The key technologies of high power GaN-based LED especially the design of large size LED chip,the selection and preparation of p electrode,the improvement of extract efficiency, and the flip chip technology are introduced and discussed.
Light Extraction Efficiency of High-Power GaN-Based Light-Emitting Diodes
Guo Jinxia, Ma Long, Yi Xiaoyan, Wang Liangchen, Wang Guohong, Li Jinmin
Chin. J. Semicond.  2005, 26(S1): 170-175
Abstract PDF

GaN-based LED efficiency can be improved by increasing internal quantum efficiency and increasing light extraction efficiency.Internal quantum efficiency for GaN-based blue LEDs and UVLED is more than 70% and 80%,respectively.Because only a few percents of the internal light emitted from active layer can escape out of LED mainly due to total internal reflection (TIR),there is much room for improvement of the light extraction efficiency.The main reasons for low light extraction efficiency from point of geometric and physical view are analyzed,then all kinds of methods to improve the light extraction efficiency and their advantages and disadvantages are investigated.

GaN-based LED efficiency can be improved by increasing internal quantum efficiency and increasing light extraction efficiency.Internal quantum efficiency for GaN-based blue LEDs and UVLED is more than 70% and 80%,respectively.Because only a few percents of the internal light emitted from active layer can escape out of LED mainly due to total internal reflection (TIR),there is much room for improvement of the light extraction efficiency.The main reasons for low light extraction efficiency from point of geometric and physical view are analyzed,then all kinds of methods to improve the light extraction efficiency and their advantages and disadvantages are investigated.
Fabrication of High Power 670nm Laser Diodes
Lin Tao, Jiang Li, Wang Jun, Tan Manqing, Liu Suping, Wei Xin, Wang Guohong, Ma Xiaoyu
Chin. J. Semicond.  2005, 26(S1): 176-179
Abstract PDF

670nm laser diode wafer is grown by low pressure MOCVD technology.Well of the active region is InGaAsP and barrier is AlGaInP.The so grown wafer is processed into 670nm high power oxide striped laser diodes with non current injected windows structure near the facets.Cavity length of the LD is 900μm and current injection width is 100μm.Both the non-current injected windows are 25μm long.After coated,the typical threshold current is 0.4A,output wavelength is 670±2nm and the maximum output power is 1100mW.Far field divergence angles in the junction-parallel and perpendicular directions are 8°and 40° respectively.These results show that the device structure can improve maximum output power.

670nm laser diode wafer is grown by low pressure MOCVD technology.Well of the active region is InGaAsP and barrier is AlGaInP.The so grown wafer is processed into 670nm high power oxide striped laser diodes with non current injected windows structure near the facets.Cavity length of the LD is 900μm and current injection width is 100μm.Both the non-current injected windows are 25μm long.After coated,the typical threshold current is 0.4A,output wavelength is 670±2nm and the maximum output power is 1100mW.Far field divergence angles in the junction-parallel and perpendicular directions are 8°and 40° respectively.These results show that the device structure can improve maximum output power.
Analysis and Design About Tuning Range of Micro-Electromechanical Tunable Vertical Cavity Surface Emitting Lasers
Wang Honghang, Guo Xia, Qu Hongwei, Dong Limin, Shen Guangdi
Chin. J. Semicond.  2005, 26(S1): 180-183
Abstract PDF

The significance of the tunable lasers,the basic tunable method and theory are introduced and described.The factors which affect the tunable range of micro-electromechanical tunable vertical cavity surface emitting lasers are analyzed in details.The maximum range of air gap and the corresponding tunable range of wavelength are simulated.

The significance of the tunable lasers,the basic tunable method and theory are introduced and described.The factors which affect the tunable range of micro-electromechanical tunable vertical cavity surface emitting lasers are analyzed in details.The maximum range of air gap and the corresponding tunable range of wavelength are simulated.
Lasing Characteristics of InAs/GaAs Quantum-Dot Lasers with Multistacked Dot Layer
Qian Jiajun, Ye Xiaoling, Chen Yonghai, Xu Bo, Han Qin, Wang Zhanguo
Chin. J. Semicond.  2005, 26(S1): 184-188
Abstract PDF

The five-fold layer quantum-dot (QD) microstructure material is grown by S-K mode with solid source molecular epitaxy technique.The internal loss coefficient (αi) of 2.1cm-1 and a transparency current density of 15±10 A/cm2 are gained.The threshold current density of 144A/cm2,light output power (both facets) of 2.67W,external differential quantum efficiency ηd=63% and a characteristic temperature T0=320K are obtained for 100μm strip and 2.4mm cavity length laser diode with uncoated facets during room temperature continuous-wave lasing.

The five-fold layer quantum-dot (QD) microstructure material is grown by S-K mode with solid source molecular epitaxy technique.The internal loss coefficient (αi) of 2.1cm-1 and a transparency current density of 15±10 A/cm2 are gained.The threshold current density of 144A/cm2,light output power (both facets) of 2.67W,external differential quantum efficiency ηd=63% and a characteristic temperature T0=320K are obtained for 100μm strip and 2.4mm cavity length laser diode with uncoated facets during room temperature continuous-wave lasing.
Sampled Grating DFB Laser
Kan Qiang, Zhao Lingjuan, Zhou Fan, Wang Baojun, Wang Wei
Chin. J. Semicond.  2005, 26(S1): 189-191
Abstract PDF

A sampled grating DFB laser is fabricated.Three methods of fabricating sampled grating are compared.The comb spectrum of the laser is approximately coincided with the calculated spectrum.

A sampled grating DFB laser is fabricated.Three methods of fabricating sampled grating are compared.The comb spectrum of the laser is approximately coincided with the calculated spectrum.
Analysis on Abnormal I-V Curves of GaAs/Ge Solar Cells
Tu Jielei, Wang Liangxing, Zhang Zhongwei, Chi Weiying, Peng Dongsheng, Chen Chaoqi
Chin. J. Semicond.  2005, 26(S1): 192-195
Abstract PDF

The main reasons why I-V curves are abnormal in developing GaAs/Ge solar cells are discussed for each diffusion in GaAs/Ge interface,which will form additional junction or potential.And theoretical calculating simulations agreeing with experi-ments re-comfirm above ideas.As the result,GaAs/Ge solar cells with 2095% (AM0,25℃, 2cm×4cm) are successfully obtained by increasing growth temperature and optimising condition of forming nucleus.

The main reasons why I-V curves are abnormal in developing GaAs/Ge solar cells are discussed for each diffusion in GaAs/Ge interface,which will form additional junction or potential.And theoretical calculating simulations agreeing with experi-ments re-comfirm above ideas.As the result,GaAs/Ge solar cells with 2095% (AM0,25℃, 2cm×4cm) are successfully obtained by increasing growth temperature and optimising condition of forming nucleus.
High Efficiency Ge Bottom Cell for GaInP2/GaAs/Ge Three-Junction Tandem Solar Cell
Wang Liangxing, Tu Jielei, Zhang Zhongwei, Chi Weiying, Peng Dongsheng, Chen Chaoqi, Chen Mingbo
Chin. J. Semicond.  2005, 26(S1): 196-199
Abstract PDF

Analyze the impacts of structure and device process of Ge solar cell on open-voltage,light current density, and fill factor.By controlling the surface-recombination velocity,reduction of emitter thickness, and improvement of device process,demonstrate Ge solar cell with open-voltage of 2875mV,short-circuit current density of 73.13mA/cm2,and efficiency of 7.35%

Analyze the impacts of structure and device process of Ge solar cell on open-voltage,light current density, and fill factor.By controlling the surface-recombination velocity,reduction of emitter thickness, and improvement of device process,demonstrate Ge solar cell with open-voltage of 2875mV,short-circuit current density of 73.13mA/cm2,and efficiency of 7.35%
Effect of High Temperatures on Characteristics of Thermo-Optical Tunable Optical Filters
Zuo Yuhua, Mao Rongwei, Li Chuanbo, Zhao Lei, Cai Xiao, Cheng Buwen, Wang Qiming
Chin. J. Semicond.  2005, 26(S1): 200-203
Abstract PDF

The effect of high temperature on the characterstics of Si-based thermo-optical tunable optical filters is extensively investigated.Rapid thermal treatments from 400℃ to 800℃ are employed to the filters and transmittance properties and atomic force microscopes (AFM) are measured.It is found that the transmittance peak blue shifts and the reflectance of DBR decreases,the surface roughness and the grain size of the samples become larger,when the heat treatment temperature increases.It is suggested that the blue-shift of the transmittance peak is induced by the reduce of center wavelength of DBR,which is caused by the refractive index as well as the thickness change of amorphous Si and SiO2 at high temperature.The decrease of the reflectivity and transmittance peak intensity is contributed to the larger roughness of surface and interface at higher temperature

The effect of high temperature on the characterstics of Si-based thermo-optical tunable optical filters is extensively investigated.Rapid thermal treatments from 400℃ to 800℃ are employed to the filters and transmittance properties and atomic force microscopes (AFM) are measured.It is found that the transmittance peak blue shifts and the reflectance of DBR decreases,the surface roughness and the grain size of the samples become larger,when the heat treatment temperature increases.It is suggested that the blue-shift of the transmittance peak is induced by the reduce of center wavelength of DBR,which is caused by the refractive index as well as the thickness change of amorphous Si and SiO2 at high temperature.The decrease of the reflectivity and transmittance peak intensity is contributed to the larger roughness of surface and interface at higher temperature
A Low Power Consumption SOI-Based Thermo-Optic Variable Optical Attenuator
He Yuejiao, Fang Qing, Xin Hongli, Chen Peng, Li Fang, Liu Yuliang
Chin. J. Semicond.  2005, 26(S1): 204-207
Abstract PDF

A SOI-based thermo-optic variable optical attenuator with thermal isolation grooves based on a Mach-Zehnder interferometer is fabricated.Modulation depth of 29dB at the wavelength range between 1510nm and 1610nm is achieved,and the maximum power consumption is only 130mW.Compared with the variable optical attenuator without thermal isolation grooves,the maximum power consumption decreases more than 230mW

A SOI-based thermo-optic variable optical attenuator with thermal isolation grooves based on a Mach-Zehnder interferometer is fabricated.Modulation depth of 29dB at the wavelength range between 1510nm and 1610nm is achieved,and the maximum power consumption is only 130mW.Compared with the variable optical attenuator without thermal isolation grooves,the maximum power consumption decreases more than 230mW
30Gbit/s Optical Coupling and Package in a Parallel Optical Transmitter Module Development
Pei Weihua, Tang Jun, Shen Rongxuan, Chen Hongda
Chin. J. Semicond.  2005, 26(S1): 208-211
Abstract PDF

Coupling and package method in developing a vertical cavity surface emit laser array based parallel optical transmitter is introduced in this article.Two coupling method,named as slant-face side coupling and vertical-face end coupling respectively,are described and compared.The characteristic of the ribbon fiber coupling to VCSEL array is analyzed.A coupling efficiency of more than 70 percent can obtained form both methods.

Coupling and package method in developing a vertical cavity surface emit laser array based parallel optical transmitter is introduced in this article.Two coupling method,named as slant-face side coupling and vertical-face end coupling respectively,are described and compared.The characteristic of the ribbon fiber coupling to VCSEL array is analyzed.A coupling efficiency of more than 70 percent can obtained form both methods.
Silicon-on-Insulator Based Optical Waveguide and Integrated Switch Matrix
Chen Shaowu, Yu Jinzhong, Liu Jingwei, Wang Zhangtao, Xia Jinsong, Fan Zhongchao
Chin. J. Semicond.  2005, 26(S1): 212-215
Abstract PDF

Recent research progress on SOI based optical waveguide and integrated switch matrix is presented.The large cross-section single-mode trapezoidal rib waveguide,the MMI coupler,the 2×2 switch element are fabricated.The switch shows a much quicker response speed of 8μs (rise time)/5μs (fall time) and a very low power consumption of 140mW,which is the best result among all the reported silicon-based thermo-optic waveguide switches.Based on this,a 4×4 switch matrix is initially demonstrated

Recent research progress on SOI based optical waveguide and integrated switch matrix is presented.The large cross-section single-mode trapezoidal rib waveguide,the MMI coupler,the 2×2 switch element are fabricated.The switch shows a much quicker response speed of 8μs (rise time)/5μs (fall time) and a very low power consumption of 140mW,which is the best result among all the reported silicon-based thermo-optic waveguide switches.Based on this,a 4×4 switch matrix is initially demonstrated
Analysis on Influencing Factors of Bend Loss of Silicon-on-Insulator Waveguides
Chen Yuanyuan, Yu Jinzhong, Yan Qingfeng, Chen Shaowu
Chin. J. Semicond.  2005, 26(S1): 216-219
Abstract PDF

The influencing factors of bend loss of silicon-on-insulator (SOI) bend waveguides are analyzed by means of effective index method (EIM) and two dimensional beam propagation method (2D-BPM).The modeling results indicate that the bend loss decreases with the increase of bend radii and waveguide width,as well as with the decrease of the etching ratio of the rib waveguide.Meanwhile,improvement of the rib waveguide structure,such as constructing the SOI waveguide with asymmetric rib structure,or etching groove in outside of bend waveguide,will contribute to lower the bend loss of SOI bend waveguides.

The influencing factors of bend loss of silicon-on-insulator (SOI) bend waveguides are analyzed by means of effective index method (EIM) and two dimensional beam propagation method (2D-BPM).The modeling results indicate that the bend loss decreases with the increase of bend radii and waveguide width,as well as with the decrease of the etching ratio of the rib waveguide.Meanwhile,improvement of the rib waveguide structure,such as constructing the SOI waveguide with asymmetric rib structure,or etching groove in outside of bend waveguide,will contribute to lower the bend loss of SOI bend waveguides.
Numerical Analysis for Phase Error of Silica-Based Arrayed Waveguide Grating
An Junming, Xia Junzhi, Li Jian, Gao Dingshan, Li Jianguang, Wang Hongjie, Hu Xiongwei
Chin. J. Semicond.  2005, 26(S1): 220-224
Abstract PDF

Phase systematical and random errors of silica-based AWG are analyzed in detail using transmission function method.The results of systematical error analysis show that the deviation from designed values of effective refraction index and path difference of arrayed waveguides makes central wavelength deviate from designed value,and the deviation of the effective refractive index of slab waveguides,the pitch of arrayed waveguides and the focal length makes channel spacing deviate from designed value.The results of random error analysis show that the fluctuation of path difference,core refractive index,width and height of core impacts on crosstalk more than that of undercladding and overcladding refractive index

Phase systematical and random errors of silica-based AWG are analyzed in detail using transmission function method.The results of systematical error analysis show that the deviation from designed values of effective refraction index and path difference of arrayed waveguides makes central wavelength deviate from designed value,and the deviation of the effective refractive index of slab waveguides,the pitch of arrayed waveguides and the focal length makes channel spacing deviate from designed value.The results of random error analysis show that the fluctuation of path difference,core refractive index,width and height of core impacts on crosstalk more than that of undercladding and overcladding refractive index
Theoretical Analysis and Design of Intelligently Integrated Optical Switches for 850 and 1550nm Applications
Li Zhangjian, Chen Zhiwen, Zhu Qile, Zhao Yuzhou, Lin Xubin, Li Jing, Cai Zhigang, Wang Gang, Li Baojun
Chin. J. Semicond.  2005, 26(S1): 225-228
Abstract PDF

An intelligently integrated optical switch with wavelength dividing function is analyzed and designed for 850 and 1550nm applications.Its crosstalk,insertion loss,and extinction ratio are calculated by using beam propagation method through solving Maxwell equations.The results show that for optical switch use,the average crosstalk,insertion loss,and extinction ratio are -19,1.3, and 21dB,respectively; for optical wavelength divider use,the average crosstalk and insertion loss are -11 and 1.1dB,respectively.It is a kind of multifunctional component for optical fiber communication applications.

An intelligently integrated optical switch with wavelength dividing function is analyzed and designed for 850 and 1550nm applications.Its crosstalk,insertion loss,and extinction ratio are calculated by using beam propagation method through solving Maxwell equations.The results show that for optical switch use,the average crosstalk,insertion loss,and extinction ratio are -19,1.3, and 21dB,respectively; for optical wavelength divider use,the average crosstalk and insertion loss are -11 and 1.1dB,respectively.It is a kind of multifunctional component for optical fiber communication applications.
Theoretical Analysis and Design of Si-based Micro- and Nano- Integrated Optical Waveguides
Chen Zhiwen, Li Zhangjian, Zhu Qile, Zhao Yuzhou, Lin Xubin, Li Jing, Cai Zhigang, Wang Gang, Li Baojun
Chin. J. Semicond.  2005, 26(S1): 229-232
Abstract PDF

A micro- and nano- integrated optical waveguide configuration is proposed and theoretically designed.It consists of a straight section (10μm in width),an exponential section (gradual change in width from 10μm to 500nm),and a straight section (1μm,800nm,and 500nm in width).The results are compared with those of conventional tapered and lensed waveguide configurations and show that the proposed exponential configurations have higher performances than tapered and lensed ones.Its fabrication technology is as same as the tapered one or even simpler than the lensed one.This micro- and nano-integrated waveguide is very easy to couple light bean from a single mode fiber into a photonic crystal device.It has potential applications in next generation of all optical communications systems

A micro- and nano- integrated optical waveguide configuration is proposed and theoretically designed.It consists of a straight section (10μm in width),an exponential section (gradual change in width from 10μm to 500nm),and a straight section (1μm,800nm,and 500nm in width).The results are compared with those of conventional tapered and lensed waveguide configurations and show that the proposed exponential configurations have higher performances than tapered and lensed ones.Its fabrication technology is as same as the tapered one or even simpler than the lensed one.This micro- and nano-integrated waveguide is very easy to couple light bean from a single mode fiber into a photonic crystal device.It has potential applications in next generation of all optical communications systems
Application of 10Gbit/s Parallel Laser Transceiver Module to 10Gbit/s Ethernet
Zhou Yi, Chen Hongda, Zuo Chao, Jia Jiuchun, Shen Rongxuan
Chin. J. Semicond.  2005, 26(S1): 233-237
Abstract PDF

Application of 10Gbit/s parallel laser transceiver module to 10Gbit/s ethernet is introduced in this article.It extends the operating speed of ethernet to 10Gbit/s and extends its effective distance to 40km.Therefore,ethernet application space not only is limited in LAN links and MAN links but also includes WAN links.We present its architecture components,layer construction and physical medium.Then we discuss a few application of VSR to 10Gbit/s Ethernet

Application of 10Gbit/s parallel laser transceiver module to 10Gbit/s ethernet is introduced in this article.It extends the operating speed of ethernet to 10Gbit/s and extends its effective distance to 40km.Therefore,ethernet application space not only is limited in LAN links and MAN links but also includes WAN links.We present its architecture components,layer construction and physical medium.Then we discuss a few application of VSR to 10Gbit/s Ethernet
Optical and Electrical Investigation of Embedded Self-Assembled InAs Quantum Dot Modulation Doped Field-Effect-Transistors
Zeng Yuxin, Liu Wei, Yang Fuhua, Xu Ping, Zhang Hao, Bian Lifeng, Tan Pingheng, Zheng Houzhi, Zeng Yiping
Chin. J. Semicond.  2005, 26(S1): 238-242
Abstract PDF

The optical properties of dot-in-a-well(DWELL) self-assembled InAs quantum dots(QDs) are investigated,whose photoluminescence (PL) wavelength reaches around 1.265μm at room temperature.The abnormal red shift of the PL peak of InAs QDs with the increasing of the temperature is closely related with the inhomogeneous size distribution of the QD.A hetero-structure modulation doped field effect transistor (MODFET) with embedded InAs QDs is fabricated,and high electric field I-V characteristics of the device are observed.It is proposed that an MODFET embedded with InAs QDs presents a novel type of field effect photon detector.

The optical properties of dot-in-a-well(DWELL) self-assembled InAs quantum dots(QDs) are investigated,whose photoluminescence (PL) wavelength reaches around 1.265μm at room temperature.The abnormal red shift of the PL peak of InAs QDs with the increasing of the temperature is closely related with the inhomogeneous size distribution of the QD.A hetero-structure modulation doped field effect transistor (MODFET) with embedded InAs QDs is fabricated,and high electric field I-V characteristics of the device are observed.It is proposed that an MODFET embedded with InAs QDs presents a novel type of field effect photon detector.
Properties of GaAs Based Resonant Cavity Enhanced Photodetectors
Tang Jun, Chen Hongda, Liang Kun, Du Yun, Yang Xiaohong, Wu Ronghan
Chin. J. Semicond.  2005, 26(S1): 243-246
Abstract PDF

The theoretical analysis and experimental measurement on the incident angle dependence of quantum efficiency of GaAs based resonant cavity enhanced (RCE) photodetector are presented.By changing the angle of incoming light,about 40nm wavelength variation of peak quantum efficiency is obtained.The peak quantum efficiency and optical bandwidth at different mode corresponding to different angle incidence are characterized with different absorption dependence on wavelength.

The theoretical analysis and experimental measurement on the incident angle dependence of quantum efficiency of GaAs based resonant cavity enhanced (RCE) photodetector are presented.By changing the angle of incoming light,about 40nm wavelength variation of peak quantum efficiency is obtained.The peak quantum efficiency and optical bandwidth at different mode corresponding to different angle incidence are characterized with different absorption dependence on wavelength.
Properties of Schottky Contact in MSM UV Detectors Based on AlGaN/GaN Heterostructure
Zhou Jin, Hao Yilong, Wu Guoying, Yang Zhijian, Zhang Guoyi
Chin. J. Semicond.  2005, 26(S1): 247-251
Abstract PDF

AlGaN/GaN hetero-structure samples are grown on sapphire substrate by MOCVD method.Ti/Al/Ti/Au and Ni/Au metal films are sputtered on the samples,respectively.They are thermally annealed in the N2 gas for the different time and temperatures,and become ohmic contact and Schottky contact,respectively.Both I-V curves and C-V curves are discussed in term of the measurement results.The I-Vcurves have very good symmetry,butC-V curves slowly lose their symmetry with the annealing time increasing.MSM PD have good performance on the UV/Visible contrast and selectivity and the photoconductive gain effect is observed in the MSM PD UV response curves.

AlGaN/GaN hetero-structure samples are grown on sapphire substrate by MOCVD method.Ti/Al/Ti/Au and Ni/Au metal films are sputtered on the samples,respectively.They are thermally annealed in the N2 gas for the different time and temperatures,and become ohmic contact and Schottky contact,respectively.Both I-V curves and C-V curves are discussed in term of the measurement results.The I-Vcurves have very good symmetry,butC-V curves slowly lose their symmetry with the annealing time increasing.MSM PD have good performance on the UV/Visible contrast and selectivity and the photoconductive gain effect is observed in the MSM PD UV response curves.
30GHz PHEMT Oscillator
Wu Ahui
Chin. J. Semicond.  2005, 26(S1): 252-255
Abstract PDF

This paper describes the design, fabrication and performance of a monolithic 30GHz VCO.This MMIC chip utilizes the PHEMT technology.A negative resistance common-source feedback configuration is utilized in the design.The modified-Materka FET model parameters are extracted from the small signal S-parameters and dc I-V parameters.The varactor diode is implemented by common-source-drain-FET.The capacitance is controlled by the gate voltage.The circuit measurements show a frequency tuning bandwidth of about 150MHz at ~30.12GHz center frequency and an output power up to 12.5dBm.The measurements are corresponding with the design.

This paper describes the design, fabrication and performance of a monolithic 30GHz VCO.This MMIC chip utilizes the PHEMT technology.A negative resistance common-source feedback configuration is utilized in the design.The modified-Materka FET model parameters are extracted from the small signal S-parameters and dc I-V parameters.The varactor diode is implemented by common-source-drain-FET.The capacitance is controlled by the gate voltage.The circuit measurements show a frequency tuning bandwidth of about 150MHz at ~30.12GHz center frequency and an output power up to 12.5dBm.The measurements are corresponding with the design.
High Quality Ultraviolet Photodetectors Based on Silicon Carbide
Huang Limin, Xie Jiachun, Liang Jin
Chin. J. Semicond.  2005, 26(S1): 256-260
Abstract PDF

Au/n-4H-SiC Schottky ultraviolet photodetectors are fabricated using wide band semiconductor n-4H-SiC and metal Au as Schottky contact and ohmic contact of Ti, Ni, Ag alloys on the back side.The spectrum response characteristics at high temperature and high reverse biased voltage of the devices are measured and analyzed.The response wavelength range is between 200 and 400nm.At room temperature without biased voltage,the response peak is found at 320nm and the half width of response wavelength is 82nm.The spectrum response and cut-off velocity of the detectors increase sharply at high reverse biased voltage(above one hundred voltage).And the spectrum response curves are very plain between 260 and 380nm.At 533K without biased voltage,UV response characteristics of the device remain very well.

Au/n-4H-SiC Schottky ultraviolet photodetectors are fabricated using wide band semiconductor n-4H-SiC and metal Au as Schottky contact and ohmic contact of Ti, Ni, Ag alloys on the back side.The spectrum response characteristics at high temperature and high reverse biased voltage of the devices are measured and analyzed.The response wavelength range is between 200 and 400nm.At room temperature without biased voltage,the response peak is found at 320nm and the half width of response wavelength is 82nm.The spectrum response and cut-off velocity of the detectors increase sharply at high reverse biased voltage(above one hundred voltage).And the spectrum response curves are very plain between 260 and 380nm.At 533K without biased voltage,UV response characteristics of the device remain very well.
Simulation of Imperfection on Image-Charge Quantum Cellular Automaton Using Image Charge Effect
Wang Yanzhen, Wu Nanjian
Chin. J. Semicond.  2005, 26(S1): 261-264
Abstract PDF

The influence of the imperfection of distribution of quantum dots on the behavior of QCA devices using image charge effect is investigated.The quantum dots of the cells of QCA are strictly confined on the four corners of a square cell.When considering the existing technology of manufacture of quantum dots,imperfection is unavoidable.We simulate the situation when the imperfection of the distribution of quantum dots is normal distribution.Also,we estimate the temperature on which the QCA can work correctly.The result indicates that the QCA can work properly when the error is less than 0.1.Meanwhile,if the size of the cells is decreased,the QCA can work above room temperature.

The influence of the imperfection of distribution of quantum dots on the behavior of QCA devices using image charge effect is investigated.The quantum dots of the cells of QCA are strictly confined on the four corners of a square cell.When considering the existing technology of manufacture of quantum dots,imperfection is unavoidable.We simulate the situation when the imperfection of the distribution of quantum dots is normal distribution.Also,we estimate the temperature on which the QCA can work correctly.The result indicates that the QCA can work properly when the error is less than 0.1.Meanwhile,if the size of the cells is decreased,the QCA can work above room temperature.
Single Electron Data Conversion Circuits
Ou Xiaobin, Wu Nanjian
Chin. J. Semicond.  2005, 26(S1): 265-267
Abstract PDF

Two kinds of novel single electron analog to digital converter (ADC) and digital to analog converter (DAC) circuits that consist of single electron transistors (SET) and MOS transistors are proposed.The hybrid ADC and DAC circuits have advantages as follows: large load capability;operate at room temperature;low power dissipation.The hybrid SET and MOS transistor ADC/DAC circuits are simulated.The simulation results demonstrate that the circuits can perform data conversion well at room temperature.The sampling frequency can reach 100MHz and the power dissipation is about 0.1μW.

Two kinds of novel single electron analog to digital converter (ADC) and digital to analog converter (DAC) circuits that consist of single electron transistors (SET) and MOS transistors are proposed.The hybrid ADC and DAC circuits have advantages as follows: large load capability;operate at room temperature;low power dissipation.The hybrid SET and MOS transistor ADC/DAC circuits are simulated.The simulation results demonstrate that the circuits can perform data conversion well at room temperature.The sampling frequency can reach 100MHz and the power dissipation is about 0.1μW.
Analysis Design and Optimization of Si Inductors
Zhang Yueli, Zhang Wenjun
Chin. J. Semicond.  2005, 26(S1): 268-272
Abstract PDF

Si integrated inductors are simulated with the tool ISE-EMLAB which supports FDTD(finite-difference time-domain) method.The effects on the frequency feature of the quality factor,inductance, and resistance brought by the change of the design parameters such as the metal width,metal space,outer diameter, and the number turns are analyzed.Then,we get a principle of design and optimization of the Si integrated inductors.

Si integrated inductors are simulated with the tool ISE-EMLAB which supports FDTD(finite-difference time-domain) method.The effects on the frequency feature of the quality factor,inductance, and resistance brought by the change of the design parameters such as the metal width,metal space,outer diameter, and the number turns are analyzed.Then,we get a principle of design and optimization of the Si integrated inductors.
n-Type 4H-SiC Ohmic Contact
Chen Gang
Chin. J. Semicond.  2005, 26(S1): 273-276
Abstract PDF

The difference of the characteristics of the NiCr/4H-SiC ohmic contact for different process conditions is studied.The condition for ohmic contact process is obtained,which is the base of the fabrication of the SiC MESFET devices.The process flow chart of ohmic contact is also introduced,and the specific contact resistivity is measured.The best result obtained for specific contact resistivity is ρc=1.24e-5Ω·cm2,which is good enough for SiC MESFET devices.

The difference of the characteristics of the NiCr/4H-SiC ohmic contact for different process conditions is studied.The condition for ohmic contact process is obtained,which is the base of the fabrication of the SiC MESFET devices.The process flow chart of ohmic contact is also introduced,and the specific contact resistivity is measured.The best result obtained for specific contact resistivity is ρc=1.24e-5Ω·cm2,which is good enough for SiC MESFET devices.
Anealing Effect on Cu/ Ni/4H-SiC Schottky Barrier
Yang Weifeng, Yang Keqin, Chen Xiaping, Zhang Feng, Wang Liangjun, Wu Zhengyun
Chin. J. Semicond.  2005, 26(S1): 277-280
Abstract PDF

Schottky barriers are formed by magnetron sputtering the metals (Cu and Ni) on the front side of 4H-SiC(Si face) to study the rectifying characteristics of the contacts between the metals and 4H-SiC.The effects on the annealing under different temperatures are also investigated.Schottky barrier height (SBH) and ideal factor of metal/4H-SiC are evaluated from the I-V and C-V measurements.After annealing,the SBH of Cu/SiC and Ni/SiC increased.But when the annealing temperature is higher than 500℃ for Cu/SiC,700℃ for Ni/SiC,the rectifying characteristic of samples degrades.The reverse leaky current is low whether or not annealing.No strong Fermi pining is found and the interfaces between metal and 4H-SiC are in good quality.

Schottky barriers are formed by magnetron sputtering the metals (Cu and Ni) on the front side of 4H-SiC(Si face) to study the rectifying characteristics of the contacts between the metals and 4H-SiC.The effects on the annealing under different temperatures are also investigated.Schottky barrier height (SBH) and ideal factor of metal/4H-SiC are evaluated from the I-V and C-V measurements.After annealing,the SBH of Cu/SiC and Ni/SiC increased.But when the annealing temperature is higher than 500℃ for Cu/SiC,700℃ for Ni/SiC,the rectifying characteristic of samples degrades.The reverse leaky current is low whether or not annealing.No strong Fermi pining is found and the interfaces between metal and 4H-SiC are in good quality.
Kinetics of Growth of AlAs/AlGaAs Oxide in Cylindrical Mesa
Dong Limin, Guo Xia, Qu Hongwei, Deng Jun, Du Jinyu, Zou Deshu, Shen Guangdi
Chin. J. Semicond.  2005, 26(S1): 281-284
Abstract PDF

Wet oxidation of AlAs/AlGaAs is an important step in the process of the oxide-confined VCSELs.The kinetics of Al0.98Ga0.02As wet oxidation process are investigated in cylindrically symmetric mesa structure.We compare and analyze the rule relation between the stripe,convex,and concave mesa,and obtain a novel model which suits 2D cylindrical structure.The simulated data using the novel formulation is in close agreement with the experiment data.

Wet oxidation of AlAs/AlGaAs is an important step in the process of the oxide-confined VCSELs.The kinetics of Al0.98Ga0.02As wet oxidation process are investigated in cylindrically symmetric mesa structure.We compare and analyze the rule relation between the stripe,convex,and concave mesa,and obtain a novel model which suits 2D cylindrical structure.The simulated data using the novel formulation is in close agreement with the experiment data.